MARCO ISAÍAS ALAYO CHÁVEZ DEPOSIÇÃO E

Transcrição

MARCO ISAÍAS ALAYO CHÁVEZ DEPOSIÇÃO E
MARCO ISAÍAS ALAYO CHÁVEZ
DEPOSIÇÃO E CARACTERIZAÇÃO DE FILMES DE SiO2
CRESCIDOS PELA TÉCNICA DE PECVD A BAIXA
TEMPERATURA
Dissertação apresentada à Escola Politécnica
da Universidade de São Paulo para a obtenção
do grau de Mestre em Engenharia.
São Paulo
1996
MARCO ISAÍAS ALAYO CHÁVEZ
DEPOSIÇÃO E CARACTERIZAÇÃO DE FILMES DE SiO2
CRESCIDOS PELA TÉCNICA DE PECVD A BAIXA
TEMPERATURA
Dissertação apresentada à Escola Politécnica
da Universidade de São Paulo para a obtenção
do grau de Mestre em Engenharia.
Área de concentração:
Engenharia de Microeletrônica
Orientadora:
Prof. Dra. Inés Pereyra
São Paulo
1996
Alayo Chávez, Marco Isaías
Deposição e Caracterização de filmes de SiO2 pela Técnica de
PECVD a Baixa Temperatura. São Paulo, 1996.
96 p.
Dissertação (Mestrado) - Escola Politécnica da Universidade de São
Paulo. Departamento de Engenharia Eletrônica.
1. Dióxido de silício. 2. Plasma CVD. 3. Estruturas MOS.
4. Microeletrônica
Universidade de São Paulo. Departamento de Engenharia Eletrônica
DEDICATORIA
A mis queridos padres: Eustaquio y Carolina
A mis hermanos: Marlon, César y Nilton
A mi linda Gaby Cabel
AGRADECIMENTOS
Em primeiro lugar gostaria de agradecer imensamente a minha orientadora Prof.
Dra. Inés Pereyra pela valiosa orientação, dedicação e amizade brindada no
desenvolvimento deste trabalho.
Ao Dr. Marcelo Nelson Paez Carreño pelo contínuo incentivo, apoio e
proveitosas discussões ao respeito do trabalho.
Ao Dr. José Augusto de Alencar e ao Dr. Luiz Molina Torres pelas sugestões e
criticas do trabalho.
Ao colega Rogério Junqueira Prado pela revisão cuidadosa deste trabalho.
A todos os integrantes do grupo de Novos Materiais ao qual eu pertenço: André,
Geraldo, Raimundo pela valiosa colaboração na deposição e caracterização das
películas.
Ao Laboratório de Sistemas Integráveis (LSI), especialmente ao grupo SOICMOS, pela disponibilidade de seus equipamentos e ao colega Victor Sonneberg
pelas sugestões e criticas na realização das medidas elétricas.
Ao pessoal técnico do LME pela inestimável ajuda no processamento das
lâminas.
À entidade CAPES pelo auxilio financeiro.
A todos que direta ou indiretamente colaboraram com a execução deste trabalho
e que involuntariamente foram omitidos.
SUMÁRIO
Capítulo 1 - ANTECEDENTES E OBJETIVOS
1.1
1.1 Introdução
1.1
1.2 Histórico
1.4
1.3 Objetivos
1.6
Capítulo 2 - ESTADO DA ARTE E METODOLOGIA
2.1
2.1 Introdução
2.1
2.2 Estado da arte
2.2
2.3 Metodologia do Trabalho
2.8
Capítulo 3 - TÉCNICAS DE CARACTERIZAÇÃO
3.1 Introdução
3.2 Técnicas de caracterização estrutural e composicional
3.1
3.1
3.1
3.2.1 Perfilometria
3.1
3.2.2 Elipsometria
3.3
3.2.3 Espectroscopia de absorção na região de infravermelho
3.3 Técnicas de caracterização elétrica
3.5
3.11
3.3.1 Equações básicas para a determinação
das características elétricas
3.12
a) Resistividade
3.12
b) Tensão de banda plana
3.14
c) Densidade de carga efetiva dentro do SiO2
3.15
d) Resistência série
3.16
e) Permissividade dielétrica do SiO2
3.17
f) Estados na interface Si/SiO2
3.18
g) Campo de ruptura do SiO2
3.19
Capítulo 4 - PARTE EXPERIMENTAL
4.1
4.1 Introdução
4.1
4.2 Técnicas de PECVD
4.1
4.3 Obtenção dos filmes
4.7
4.4 Amostras depositadas para a análise estrutural e composicional
4.11
4.5 Caracterização estrutural e composicional
4.12
4.5.1 Perfilometria
4.13
4.5.2 Elipsometria
4.13
4.5.3 Espectroscopia de absorção na região de infravermelho
4.6 Caracterização elétrica dos filmes
Capítulo 5 - RESULTADOS E DISCUSSÕES
5.1 Introdução
4.14
4.15
5.1
5.1
5.2 Resultados estruturais e composicionais
5.2
5.2.1 Influência do fluxo de silano
5.3
5.2.2 Influência da temperatura do porta-substrato
5.7
5.2.3 Influência da densidade de potência de rf
5.10
5.2.4 Influência da relação de fluxos N2O/SiH4
5.13
5.2.5 Influência da pressão de deposição
5.17
5.2.6 Discussão dos resultados físicos
5.20
5.3 Resultados elétricos
5.3.1 Discussão dos resultados elétricos
Capítulo 6 - CONCLUSÕES
REFERÊNCIAS BIBLIOGRÁFICAS
5.22
5.34
6.1
RESUMO
Neste trabalho reportamos os resultados da deposição e caracterização de
películas de dióxido de silício obtidas pela técnica de deposição química a vapor
assistida por plasma direto(DPECVD) a baixas temperaturas (< 400 oC). As
películas
foram crescidas pela decomposição apropriada de silano e óxido
nitroso, variando essencialmente cinco parâmetros de deposição e suas
propriedades ópticas e estruturais foram analisadas através da espectroscopia de
absorção infravermelha e elipsometria. Para analisar também as propriedades de
interface e obter a resistividade e constante dielétrica do material, foram
fabricados capacitores MOS usando as películas depositadas por DPECVD como
camada isolante, e suas curvas I-V e C-V para alta e baixa frequência foram
medidas.
Os resultados demonstram a viabilidade de obter películas finas de
dióxido de silício por DPECVD sim traços (dentro dos limites de detecção da
análise de FTIR) de ligações SiOH, SiNH ou SiH, desde que adequadas
condições de deposição sejam utilizadas. Em particular, mostramos que a técnica
de DPECVD combinada com baixos fluxos de silano, baixa pressão de deposição
e alta densidade de potência de rf é tão efetiva como as técnicas de alta diluição
com hélio ou plasma remoto CVD, em promover o crescimento de películas
altamente estequiométricas, estruturalmente similares ao dióxido de silício
crescido termicamente, tendo a vantagem adicional da simplicidade.
A análise dos resultados elétricos permitiu concluir que as películas
depositadas apresentam valores ótimos de constante dielétrica, resistividade e
tensão de ruptura, muito próximos aos do material crescido termicamente.
Porém, observamos também valores altos de carga efetiva dentro do óxido e
grande quantidade de armadilhas na interface, que produzem elevados valores de
tensão de limiar e inversão lateral nos capacitores MOS fabricados sobre lâminas
de silício de tipo p como substrato.
ABSTRACT
In this work we report the results of the deposition and characterization of
silicon dioxide films grown by the Direct Plasma Enhanced Chemical Vapor
Deposition (DPECVD) technique at low temperature (< 400 oC). The films were
obtained by the decomposition of appropriate mixtures of silane and nitrous
oxide, varying essentially five deposition parameters and their optical and
structural properties were analyzed through Fourier Transform Infra-Red
Spectroscopy and Ellipsometry. In order to analyze also the properties of the
interface and to obtain the resistivity and dielectric constant of the material, MOS
capacitors were fabricated using this DPECVD films as insulating layer, and
their I-V characteristics and C-V curves at high frequency and low frequency
were measured.
The results demonstrate the feasibility of obtaining highly stoichiometric
silicon dioxide thin films by DPECVD without traces (within the detection limits
of the FTIR analysis) of SiOH, SiNH or SiH bonds, provided that suitable
deposition conditions are utilized. In particular we show that DPECVD combined
with very low silane flow, low deposition pressure and high rf power density is
as effective as other techniques, like helium dilution or remote plasma CVD, in
promoting the growth of highly stoichiometric films structurally similar to
thermally grown silicon dioxide and having the added advantage of simplicity.
The analysis of electric results permitted us to conclude that the deposited
films present optimal values for the dielectric constant, electrical resistivity and
breakdown voltage, very close to thermally grown material. However we also
observed large values for the effective charge within the oxide as well as large
amount of interface states in the Si/SiO2 interface, which produce high values of
the threshold voltage and lateral inversion in MOS capacitors fabricated using
this PECVD SiO2 as insulator layer on p-type single-crystalline silicon
substrates.
LISTA DE SÍMBOLOS
A
= Área do capacitor MOS.
Cc
= Capacitância corrigida considerando o efeito da resistência série.
Cfb
= Capacitância total do dispositivo para o qual a tensão superficial do
silício é igual a zero (φs = 0).
Chf
= Capacitância medida em alta frequência.
Clf
= Capacitância medida em baixa frequência.
Cma
= Capacitância paralela medida.
Cox
= Capacitância do filme de SiO2.
Dit
= Estados na interface Si/SiO2.
dox
= Espessura do filme de SiO2.
Eox
= Campo elétrico de ruptura da película de SiO2..
eo
= Permissividade dielétrica no vazio.
eox
= Permissividade dielétrica relativa da película de SiO2.
esi
= Permissividade dielétrica relativa do silício.
Gma
= Condutância paralela medida.
K
= Constante de Boltzmann.
N
= Concentração de dopantes dentro da lâmina de silício.
NA
= Concentração de aceitadores dentro do silício.
ND
= Concentração de doadores dentro do silício.
Neff
= Densidade de carga efetiva dentro da película de SiO2.
ni
= Concentração de portadores intrínsecos no silício.
q
= Constante que indica a carga do elétron.
R
= Resistência da película de SiO2 calculado pela medida da curva I-V.
Rs
= Resistência série do dispositivo MOS.
T
= Temperatura do dispositivo em graus Kelvin.
vfb
= Tensão de banda plana do dispositivo MOS.
vox
= Tensão máxima aplicada na porta do capacitor que origina a ruptura da
pelicula de SiO2.
vox
= Tensão máxima de ruptura aplicada na pelicula de SiO2.
w
= Frequência de medida.
wms
= diferença de função de trabalho entre o metal e o semicondutor.
∆i
= Variação da corrente que passa pelo capacitor MOS.
∆v
= Variação da tensão da porta do capacitor MOS.
ρ
= Resistividade da película de SiO2.
φs
= Tensão superficial do silício.
CAPÍTULO 1
ANTECEDENTES E OBJETIVOS
1.1.- INTRODUÇÃO
Entre os diversos materiais utilizados atualmente na
microeletrônica, o dióxido de silício (SiO2) é um dos mais importantes na
fabricação de dispositivos semicondutores, discretos ou integrados. Esta
importância deve-se fundamentalmente às excelentes propriedades estruturais,
composicionais e elétricas deste material que levaram a sua utilização em
diversas aplicações. Uma destas aplicações é por exemplo sua utilização como
máscara litográfica devido a sua capacidade de diminuir consideravelmente a
difusão ou implantação de muitas impurezas doadoras ou aceitadoras, permitindo
obter junções com dimensões e profundidades perfeitamente controláveis; tal
característica fez do SiO2 a peça fundamental no desenvolvimento do processo
planar utilizado na fabricação de circuitos integrados (CI's). As excelentes
propriedades passivantes deste material permitem também minimizar as reações
químicas produzidas na superfície dos dispositivos semicondutores melhorando a
estabilidade e a performance destas estruturas, logrando assim uma maior
uniformidade das características de dispositivo a dispositivo. As propriedades
dielétricas deste material permitem, por outra parte, a utilização do SiO2 como
camada isolante entre estruturas semicondutoras localizadas muito próximas e/ou
entre os diferentes materiais utilizados na tecnologia de CI's. Todas estas
características presentes no SiO2 foram fundamentais para o desenvolvimento da
microeletrônica.
Posteriormente, a utilização do SiO2 como dielétrico de porta nas
estruturas Metal-Isolante-Semicondutor (MIS) significou um enorme avanço
1.2
tecnológico dentro da microeletrônica, já que a utilização destas estruturas
permitiu o aumento da integração dos CI's.
Dentro das estruturas Metal-Isolante-Semicondutor existem três regiões
que são importantes: a camada de silício, a camada isolante e a interface SiIsolante (figura 1.1).
M
I
camada isolante
Interface Si/Isolante
S
camada de silício
Fig. 1.1 - Diagrama simplificado de uma estrutura Metal-IsolanteSemicondutor (MIS).
Particularmente, cargas no isolante não são desejáveis, pois elas afetam
adversamente a performance e a estabilidade do dispositivo. Um dielétrico de
porta de boa qualidade para dispositivos MIS deve possuir baixa densidade de
estados de interface, alta resistividade, alta tensão de ruptura, e baixa densidade
de cargas fixas e móveis. Estes filmes também devem estar livres de defeitos
locais, que atuam como armadilhas para portadores injetados, pois a captura
destes portadores, particularmente se ocorre muito perto da interface, pode
reduzir a tensão de ruptura e incrementar a densidade de estados de interface,
afetando consequentemente a tensão de limiar do dispositivo. Neste sentido, o
SiO2, crescido em condições apropriadas, cumpre com todas estas condições,
apresentando as melhores características estruturais e composicionais para sua
utilização como camada isolante de porta nos que vieram a se chamar
dispositivos MOS (Metal-Óxido-Semicondutor) [1].
1.3
A técnica comumente utilizada para a fabricação do SiO2 é a conhecida
como "crescimento térmico", que consiste na oxidação da própria lâmina de
silício utilizada como substrato, sendo que parte da lâmina de silício é consumida
para formar o SiO2. Este tipo de processo requer temperaturas elevadas (~1000
o
C) para aumentar a difusão do oxigênio através do substrato.
Porém, acontece que o processamento do SiO2 a temperaturas elevadas
induz degradações na estrutura periódica do substrato (defeitos), incrementa as
difusões laterais e verticais, e produz degradações nas camadas metálicas [2].
Embora, no passado isto não representasse um problema, hoje em dia, devido à
redução
das
dimensões
dos
dispositivos
semicondutores
até
escalas
submicrométricas (0,25µm ou menos) na tecnologia de Ultra Larga Escala de
Integração ("Ultra Large Scale Integration", ULSI), as altas temperaturas de
processamento estão se convertendo num fator limitante para o avanço da
tecnologia de CI's. Tal é assim, que além das altas difusões laterais originadas
pelas elevadas temperaturas de processamento do SiO2 não permitirem uma
maior integração, a atual utilização de semicondutores compostos requer
materiais dielétricos obtidos a baixas temperaturas. Por exemplo, os detetores
infravermelhos baseados em InSb [3,4] ou HgCdTe [5], requerem em sua
fabricação, dielétricos processados a temperaturas menores que 250 oC para
evitar a evaporação do constituinte mais volátil, o que originaria a perda das
características semicondutoras desejáveis do material. Também futuras
aplicações em telas planas, que devem usar substratos poliméricos no lugar do
vidro, requererão deposições a temperaturas menores que 300 oC.
A seguir enumeramos algumas das vantagens de se conseguir filmes de
SiO2 a baixas temperaturas de processamento:
−
Diminuição significativa das difusões laterais e verticais dos átomos
incorporados no substrato.
−
Melhor conservação ou manutenção da planaridade das lâminas utilizadas
como substrato.
1.4
−
Melhor controle da espessura e da uniformidade das dopagens nos filmes
finos.
–
Preservação dos filmes finos metálicos permitindo a utilização de dupla
camada metálica.
−
Preservação das ligações de hidrogênio nos dispositivos baseados em filmes
de silício amorfo hidrogenado (a-Si), necessários para conservar as
propriedades semicondutoras dos filmes de a-Si.
−
Conservação das características semicondutoras nos semicondutores
compostos tais como GaAs, CdTe, InSb, HgCdTe, etc., pois a baixa
temperatura evita a evaporação do constituinte mais volátil.
Todo o mencionado anteriormente têm motivado o interesse de muitos
pesquisadores em encontrar uma técnica que permita a fabricação de filmes
dielétricos a baixa temperatura de processamento.
1.2.- HISTÓRICO
O estudo das diferentes propriedades do dióxido de silício (SiO2) e suas
diversas aplicações na microeletrônica iniciou-se desde a década de 50. Foi em
1957 que Frosh e Derrick [6] descobriram que o dióxido de silício é capaz de se
comportar como uma barreira efetiva contra a difusão de impurezas dopantes,
fazendo possível controlar eficazmente a profundidade da junção difundida e sua
localização relativa sobre a lâmina de silício. Trabalhos iniciais de passivação de
superfícies foram realizados por Atalla et al. [7], em 1959, demonstrando-se as
propriedades passivantes do dióxido de silício em diodos de junção e em
transistores bipolares. Já em 1960-1961, Ligenza e Spitzer [8,9] fabricaram o
primeiro dióxido de silício de boa qualidade com alta tensão de ruptura num
ambiente de vapor de água sob pressão atmosférica.
Pesquisas posteriores mostraram que o SiO2 é também uma máscara
efetiva nos processos de implantação iónica, que permite obter concentrações de
1.5
impurezas dopantes muito menores e um melhor controle dessa concentração do
que a técnica de difusão.
Por essa mesma época (década de 60) descobriu-se que o SiO2 também
permite minimizar a natureza altamente reativa com o meio ambiente das
superfícies dos dispositivos semicondutores, melhorando a performance e
estabilidade das estruturas semicondutoras e logrando uma maior uniformidade
das características de dispositivo a dispositivo.
Porém, a descoberta do efeito de campo, base do funcionamento das
estruturas MOS, determinou a utilização do SiO2 em uma de suas mais
importantes aplicações.
Na verdade, o efeito de campo já era conhecido há muitos anos. Em 1935,
Liandrat [10] estabeleceu que a condutividade de um filme semicondutor pode
ser modulada por um campo elétrico transversal externo. Mais ainda, foi como
consequência de um estudo profundo deste efeito de campo (Bardeen e Brattain,
1947 [11]) que a ação do transistor foi descoberta. Em 1948 Shockley e Pearson
[12] demostraram experimentalmente a existência do efeito de campo em uma
lâmina semicondutora de silício.
Finalmente, Atalla [13] em 1965, propôs pela primeira vez que o SiO2
crescido termicamente em substratos monocristalinos de silício poderia ser usado
como isolante de porta nas estruturas MOS. Esta conclusão surgiu de estudos da
passivação do óxido nos transistores bipolares de silício. Como consequência
disto, em 1960, Kahng e Atalla fabricaram as primeiras estruturas MOSFET’s
[13,14] utilizando filmes de SiO2 crescidos por Ligenza e Spitzer [8,9] num
ambiente de vapor de água sob pressão atmosférica.
Estas foram as primeiras pesquisas que demonstraram as excelentes
propriedades estruturais, composicionais e elétricas do SiO2 que resultaram em
sua vasta utilização na microeletrônica. Atualmente, a necessidade de diminuir o
tamanho dos dispositivos semicondutores até escalas submicrométricas,
permitindo uma maior integração, e a utilização cada vez mais de semicondutores
1.6
compostos, causa que a obtenção de SiO2 a baixas temperaturas de
processamento seja considerada de suma importância para o avanço da
tecnologia de CI's.
1.3.- OBJETIVOS
O principal objetivo deste trabalho é utilizar a técnica de Deposição
Química a Vapor Assistida por Plasma (PECVD) para crescer filmes de dióxido
de
silício
a
baixas
temperaturas
com
boas
propriedades
estruturais,
composicionais e elétricas. Para isto, estudaremos a influência de cada um dos
parâmetros variáveis em nosso sistema de PECVD sobre as características
estruturais, composicionais e elétricas do filme.
CAPÍTULO 2
ESTADO DA ARTE E METODOLOGIA
2.1.- INTRODUÇÃO
As diferentes limitações originadas pela utilização de
temperaturas elevadas no processamento das películas de SiO2∗ estimularam a
procura por novas técnicas que permitam a obtenção deste material a
temperaturas muito mais baixas que as normais. Nessa procura, o interesse de
muitos pesquisadores dirigiu-se fundamentalmente à utilização de variantes da
técnica de deposição química a vapor (CVD)∗∗ para o processamento deste
material, já que as probabilidades de vencer as limitações originadas utilizando
estas técnicas eram mais favoráveis. As primeiras técnicas a serem utilizadas na
fabricação destes filmes foram a deposição química a vapor a alta ou baixa
pressão ("Atmospheric Pressure Chemical Vapor Deposition", APCVD ou "Low
Pressure Chemical Vapor Deposition", LPCVD), porém as temperaturas mínimas
alcançadas com estes métodos para a obtenção de SiO2 não chegaram a ser tão
baixas quanto desejável. Hoje em dia, outra das variantes, conhecida como
processo de deposição química a vapor assistida por plasma ("Plasma Enhanced
Chemical Vapor Deposition", PECVD) aparece como uma alternativa
promissória.
Neste capítulo mostraremos os resultados alcançados até este momento no
que se refere ao processamento de películas de SiO2 utilizando a técnica de
PECVD (e suas variantes) e explicaremos a metodologia que utilizaremos para o
desenvolvimento deste trabalho.
∗ As limitações originadas pelas elevadas temperaturas de processamento foram já mencionadas
no capítulo 1.
∗∗ Para maiores detalhes sobre esta técnica, remitir-se ao capítulo 4.
2.2
2.2.- ESTADO DA ARTE
Como foi mencionado anteriormente, os problemas vinculados às altas
temperaturas de processamento motivaram o interesse de vários pesquisadores na
obtenção de películas de SiO2 a temperaturas muito mais baixas que as utilizadas
atualmente. Com este intuito, o processo de CVD foi o primeiro a ser utilizado,
sem atingir totalmente o objetivo. Porém, com o aparecimento da técnica de
PECVD, esta apresentou-se como a melhor alternativa para a deposição de filmes
de SiO2 devido às baixas temperaturas de processamento atingidas com este
processo.
Num processo PECVD, os gases reagentes são excitados por um campo
elétrico, o qual permite iniciar e manter a descarga luminosa ou plasma. Os
elétrons excitados, altamente energéticos, fornecem suficiente quantidade de
energia aos gases reagentes, transformando-os em várias espécies ativas (tais
como radicais neutros e íons). A natureza altamente reativa destas espécies
facilita a formação de filmes a baixas temperaturas de substrato.
Porém, as propriedades físicas e elétricas dos filmes gerados pela técnica
de PECVD são determinadas pela natureza e composição do plasma, que por sua
vez são controladas pelas variáveis de deposição envolvidas no processo. Mas,
devido a natureza muito complexa da dinâmica das partículas dentro do plasma e
a grande diversidade de espécies ativas geradas, é difícil quantificar uma relação
exata entre os fatores de entrada (tais como: temperatura do substrato, pressão da
câmara, potência de rf e fluxos dos gases) e os parâmetros de saída críticos.
Especificamente para o caso do crescimento de SiO2 obtido por PECVD, certos
esforços tem sido realizados nestes últimos anos para entender tais relações,
incluindo um estudo da influência da frequência e a potência de rf nas
propriedades dos filmes de SiO2 [15] e análises de emissão óptica do plasma na
deposição de filmes de SiO2 por PECVD [16], entre outras.
Esta química complicada, envolvida no processo de crescimento das
películas por PECVD, é a causante da incorporação de ligações indesejáveis na
2.3
estrutura composicional dos filmes depositados [17,18]. Adams [19] no ano de
1983, reportou os resultados que obteve sobre o crescimento de películas de SiO2
utilizando esta técnica. O processo foi realizado num reator PECVD direto ou
convencional com acoplamento capacitivo utilizando silano (SiH4) e óxido
nitroso (N2O) como gases reagentes e com uma temperatura de substrato de 300
o
C. Os resultados das medidas de espectroscopia de infravermelho nas amostras
crescidas mostraram a existência de ligações não desejáveis, tais como Si-OH e
Si-NH na estrutura composicional dos filmes. O processo PECVD direto ou
convencional utilizado por Adams, conhecido também como DPECVD ("Direct
Plasma Enhanced Chemical Vapor Deposition"), caracteriza-se pelo fato de
“todos” os gases participantes no processo de deposição serem excitados pelo
alto campo elétrico produzido pelo gerador de rf.
Posteriormente, no ano de 1989, Batey et al. [20] realizando estudos mais
detalhados sobre a deposição de filmes de SiO2 com a técnica de PECVD direta
ou convencional, concluíram que os gases reagentes facilmente sofrem quebras
incontroláveis, originando uma grande variedade de espécies excitadas dos gases
reagentes envolvidos no processo. A excitação do SiH4 e do N2O por plasma
direto produz certos subprodutos de estados excitados, incluindo SiH, SiH2, SiH3,
etc., que constituem a base para uma série de reações heterogêneas, originando
nos filmes depositados ligações não desejáveis, tais como N-H, Si-H, Si-OH, SiN, etc. que modificam as características estruturais e composicionais do material.
Neste tipo de processo, as taxas de deposição são muito altas (mais de 1000
Å/min.), ao ponto de os filmes de SiO2 produzidos desta maneira serem
geralmente porosos, como consequência de seu rápido crescimento. A porosidade
apresentada nestes filmes é uma das principais causas da baixa densidade e da
pobre qualidade elétrica deste material. Além disso, os danos produzidos no
substrato pelo bombardeamento direto de íons devido ao plasma altamente
energético permanece quase inevitável.
Todos estes problemas apresentaram-se fundamentalmente pela química
complexa envolvida no processo de deposição dentro do plasma, originando a
2.4
formação de uma grande diversidade de espécies ativas, tendo como
consequência a incorporação de ligações não desejáveis na estrutura do filme
depositado. Neste sentido, muitos pesquisadores iniciaram estudos que permitam
entender esta química do plasma, e a partir daí tentar encontrar uma forma de
controlar as reações químicas envolvidas no processo de deposição.
Um dos primeiros trabalhos a respeito foi realizado por Hollahan [21], que
determinou o produto final que acontece no processo PECVD convencional para
a formação do SiO2 a partir de uma mistura de SiH4 e N2O, a saber:
SiH4 + N2O → SiO2 + 2H2 + 2N2
(2.1)
Posteriormente, Longeway et al. (1984) [22] e Richard et al. (1985) [23]
lograram estabelecer a molécula precursora [(SiH3)2O] que permite a obtenção de
filmes de SiO2 por PECVD. Esta molécula sofre uma reação química sobre a
superfície do substrato, substituindo o hidrogênio das ligações de Si-H das
moléculas de disiloxana por átomos de oxigênio, resultando com esta mudança a
formação de filmes de SiO2 aproximadamente estequiométricos [24,25]. As
reações chamadas de superfície podem ser expressados como:
(SiH3)2O + O* → 2SiO2 + 2H2 + H2O
(2.2)
Mas o problema fundamental de como controlar as reações químicas
envolvidas no processo continuava sem ser resolvido. Neste sentido
desenvolveram-se duas soluções, ambas surgidas experimentalmente:
a) Diluição de mistura de N2O e SiH4 num gás inerte não reagente como por
exemplo Hélio.
b) Modificação do sistema PECVD convencional de tal forma que permita
controlar as quebras das moléculas participantes no processo.
2.5
A primeira técnica foi desenvolvido por Hsieh et al. [26] no ano de 1993
utilizando um reator DPECVD e uma mistura de N2O e SiH4 diluído em Hélio,
logrando com isto uma diminuição significativa das ligações não desejáveis, tais
como: N-H, Si-H, Si-OH, Si-N, etc.
Este resultado experimental, porém já tinha sido previsto muito antes nos
trabalhos de Longeway et al. [22], no ano de 1986. Preocupados com o
entendimento da física do plasma, eles determinaram a seqüência das reações
químicas que ocorrem no processo de deposição de SiO2 por PECVD utilizando
uma mistura de N2O e SiH4 diluídos em Hélio. A saber:
N2O + X* → NO + N*
(2.3)
e
NO + X* → N* + O*
(2.4)
Onde X* denota as espécies geradas no plasma, as quais podem ser átomos de
Hélio excitado ou elétrons excitados, N* representa os átomos de nitrogênio
excitados, e O* representa os átomos de oxigênio excitados. Os átomos de
oxigênio excitados podem então reagir com o SiH4 para produzir (SiH3)2O, e
participar nas reações de superfície necessárias para a formação dos filmes de
SiO2 estequiométricos. As reações requeridas para a produção da disiloxana,
foram estabelecidas como:
He* + N2O → N2 + O* + He
(2.5)
e
O* + 2SiH4 → H2 + (SiH3)2O
(2.6)
Estabelecida a química envolvida na formação da disiloxana a partir de
uma mistura de N2O e SiH4 diluída em Hélio, o crescimento de SiO2 altamente
estequiométrico surge das reações de superfície já citadas anteriormente (equação
2.2).
2.6
Todos os resultados anteriores permitiram estabelecer que a utilização do
hélio como diluente na mistura SiH4/N2O para o crescimento de filmes de SiO2,
apresenta as seguintes vantagens [26,27]:
a)
O Hélio tem uma suficiente condutividade térmica e uma grande seção
eficaz para excitação por elétrons energéticos [20], o que permite iniciar e
manter mais facilmente o plasma.
b)
Incrementa a produção da molécula precursora (disiloxana), pois como pode
ser observado na equação 2.5, o hélio ativo contribui à quebra do N2O
formando radicais excitados de oxigênio (O*), essenciais para a formação da
disiloxana (equação 2.6).
c)
Promove a reação na superfície do substrato, aumentando a substituição das
ligações de Si-H pelas ligações Si-O. Isto pode ser concluído da equação
2.2, onde podemos observar que a substituição do hidrogênio das ligações
Si-H das moléculas de disiloxana por átomos de oxigênio, acontece devido à
existência de radicais de oxigênio ativos, gerados a sua vez pela reação do
hélio com o N2O (equação 2.5).
d)
Reduz a produção de grupos de ligações não desejadas, tais como Si-N, SiOH, N-H, etc [27].
e)
O plasma de He, o qual contém átomos neutros e íons, minimiza o
bombardeamento por íons mais pesados, diminuindo os danos produzidos ao
substrato.
f)
Não participa nas reações desde que ele é um gás inerte.
g)
Uma elevada diluição de He pode até eliminar a decomposição do SiH4 por
plasma direto. Isto permite a eliminação ou diminuição das espécies ativas
originadas da quebra do SiH4, tais como SiH, SiH2, SiH3, etc., que
constituem a base para uma série de reações heterogêneas vinculadas à
formação de uma grande variedade de ligações não desejáveis nos filmes
depositados.
2.7
Desta forma logrou-se encontrar uma solução para o controle adequado
das reações químicas envolvidas no processo, permitindo consequentemente a
obtenção de películas de SiO2 com características estequiométricas utilizando
simplesmente um processo de DPECVD e uma mistura de N2O e SiH4 diluída em
Hélio.
A segunda solução, desenvolvida por Lucovsky et al. [28] no ano de 1987,
consistiu em modificar construcionalmente a técnica de deposição de DPECVD
convencional, ao que eles chamaram de processo de PECVD por plasma remoto
(RPECVD). A diferença básica entre as duas técnicas, DPECVD e RPECVD,
está na possibilidade, existente no RPECVD, de selecionar o gás reagente a ser
excitado, diminuindo assim as reações heterogêneas. Isto implica que com a nova
técnica se logra selecionar o tipo de radical formado pela quebra da molécula de
silano evitando a formação de uma grande variedade de espécies ativas,
controlando-se, assim a composição e a estequiometria do filme depositado. O
processo também minimiza os danos produzidos pelos íons altamente energéticos
induzidos pelo plasma na superfície do substrato [28,29]. Lucovsky et al.
[29,30,31] iniciaram a deposição de filmes de SiO2 num reator RPECVD com
acoplamento indutivo utilizando uma mistura de SiH4 e N2O diluído em Hélio,
logrando uma redução bastante significativa daquelas ligações não desejadas.
Os estudos teóricos das reações químicas vinculadas ao processo de
deposição continuaram sendo desenvolvidas. Em 1991, May et al. [32]
apresentaram
um
modelo
empírico
para
processos
de
deposição
de
semicondutores baseados em plasma usando modelos estatísticos, e mais
recentemente, em 1993, Han et al. [33], fizeram um modelamento das
propriedades dos filmes do SiO2 por PECVD utilizando redes neurais.
Os resultados dos estudos estruturais e composicionais dos filmes de SiO2
crescidos pela técnica de PECVD a baixa temperatura motivou também o
interesse de diversos pesquisadores a experimentar a utilização deste material em
diversas aplicações, especificamente, como isolante de porta em estruturas MOS
[30,34,35]. Cabe destacar, porém, que encontraram-se numerosas dificuldades
2.8
intrínsecas que terão que ser contornadas para a obtenção de um bom filme com
características elétricas aceitáveis, entre as quais uma das mais importantes é o
controle da qualidade da interface Si/SiO2 [36,37]. No óxido crescido
termicamente, a interface Si/SiO2 é formada ao final do processo e está
localizada abaixo do óxido nativo devido a que o mecanismo de crescimento do
SiO2 por este método consiste no consumo da parte superficial da lâmina de
silício para formar o SiO2. Em contraste, a deposição de SiO2 por PECVD ocorre
acima do óxido nativo, consequentemente a interface Si-SiO2 é notavelmente
controlada pelas propriedades do óxido nativo. O tratamento da superfície prévio
à oxidação é muito mais crítico, portanto, nos óxidos depositados a baixas
temperaturas.
Estes estudos permitiram determinar os fatores que podem contribuir à
pobre performance elétrica das estruturas MOS empregando óxido depositado
por PECVD, entre os quais podemos citar:
−
Um pobre processamento de limpeza da superfície do semicondutor antes da
deposição que pode gerar defeitos na interface.
−
A presença de um elevado armadilhamento de elétrons associados com a
estequiometria pobre do material, incluindo uma relativamente alta
concentração de impurezas tais como hidrogênio, carbono, etc.
−
Pode existir uma interação entre o semicondutor e o óxido depositado
devido às possíveis diferenças em seus coeficientes de dilatação (stress)
gerando defeitos interfaciais eletronicamente ativos.
2.3.- METODOLOGIA DO TRABALHO
Os trabalhos anteriores nos levaram a pensar que selecionando
apropriadamente as condições de deposição num reator convencional de
DPECVD seria possível controlar as reações heterogêneas e produzir
2.9
consequentemente filmes estequiométricos. Iniciamos assim um estudo exaustivo
da influência dos parâmetros controláveis de deposição, a saber: fluxo de silano,
potência de rf, pressão do processo, temperatura do substrato e relação de fluxos
N2O/SiH4.
Nosso primeiro passo foi o estudo da influência da variação do fluxo de
silano nas propriedades estruturais dos filmes de SiO2 depositados por DPECVD.
Para avaliar a influência da variação do fluxo de SiH4 na mistura gasosa
sem a interferência dos outros parâmetros, controláveis externamente, permitidos
pela técnica PECVD, fixamos estes parâmetros em valores determinados por
estudos bibliográficos [29] e em base a nossas experiências passadas com outros
materiais. Consideramos também, para a fixação destes parâmetros, a hipótese
que diminuindo a pressão de deposição aos valores mínimos possíveis
poderíamos diminuir as ligações não desejáveis, visto que o livre caminho médio
das moléculas reagentes aumentaria, provocando desta forma um efeito similar
ao da utilização de um gás diluente no processo de crescimento. Mudanças,
então, nas propriedades estruturais e composicionais das amostras, assim
crescidas, só poderiam ser causadas pelo parâmetro variável, pois os restantes
permaneceram constantes. Porém, estes parâmetros assim fixados não eram
necessariamente os mais adequados para a obtenção de filmes de SiO2 com boas
qualidades estruturais, composicionais e elétricas, isto é, não tínhamos
conhecimento de como influenciava cada parâmetro de deposição nas
propriedades dos filmes. Precisávamos então, fazer também uma análise da
influência de cada um desses parâmetros controláveis nas características das
películas. Com este objetivo fizemos várias séries de amostras, onde só um dos
parâmetros era variado por vez. Análises destas séries de amostras permitiram
posteriormente determinar o valor ótimo para cada um dos parâmetros que
produziam os filmes de SiO2 com as melhores qualidades estruturais e
composicionais.
O conjunto de caracterizações envolveu medidas de perfilometria para um
estudo da espessura e taxa de deposição, elipsometria para uma análise do índice
2.10
de refração e espessura, e espectroscopia de infravermelho para uma análise
estrutural e composicional dos filmes depositados.
Com a finalidade também de fazer um estudo das propriedades da
interface, obter a densidade efetiva de carga, permissividade dielétrica,
resistividade e tensão de ruptura do óxido depositado por PECVD, fabricamos
capacitores MOS, usando nossos filmes de SiO2 como camada isolante de porta.
Medidas da curva de capacitância-voltagem (C-V) em alta e baixa frequência, e
da curva de corrente-tensão (I-V) destes capacitores e a posterior correlação dos
resultados com modelos teóricos nos permitiram determinar as características
elétricas de nosso material.
CAPÍTULO 3
TÉCNICAS DE CARACTERIZAÇÃO
3.1.- INTRODUÇÃO
As técnicas de caracterização constituem importantes
ferramentas que nos permitem comparar, analisar e discutir as diferentes
características físicas e elétricas das películas de SiO2 crescidas por PECVD.
Neste capítulo, nós descrevemos detalhadamente as técnicas de caracterização e a
teoria básica utilizada para a determinação das diversas propriedades estruturais,
composicionais e elétricas dos filmes depositados.
3.2.-
TÉCNICAS
DE
CARACTERIZAÇÃO
ESTRUTURAL
E
COMPOSICIONAL
Para a determinação das diferentes características estruturais e
composicionais dos filmes depositados por PECVD a baixas temperaturas, nós
utilizamos as técnicas de perfilometria, elipsometria e espectroscopia na região
de infravermelho.
3.2.1.- Perfilometria
O perfilômetro é um instrumento electromecânico que mede o perfil de
superfícies de materiais sólidos através do movimento horizontal de uma agulha
sobre a superfície da amostra. Esta agulha encontra-se acoplada mecanicamente
ao núcleo de um transformador diferencial linearmente variável ("Linear
Variable Differential Transformer", LVDT). O alto grau de precisão do
3.2
equipamento permite que a agulha caminhe sobre a superfície da amostra
detectando variações ou defeitos na superfície do material, que causam
translações verticais na agulha, e consequentemente, mudanças na posição do
núcleo do LVDT. Estas mudanças no LVDT produzem um sinal analógico
proporcional ao deslocamento vertical da agulha, condicionando-se e
convertendo-se posteriormente a um formato digital através de um conversor
analógico-digital de alta precisão. O sinal digitalizado correspondente ao
percurso da agulha sobre a superfície é armazenado na memória de um
computador para posterior manipulação.
A figura 3.1 representa o diagrama de blocos da estrutura interna de um
perfilômetro típico que permite a medição da espessura de diversos materiais.
OSCILADOR
IMPRESSORA
DEMODULADOR
LVDT
AMPLIFICADOR
DISPLAY
CONVERSOR
PROCESSAMENTO
A/D
DIGITAL
DETETOR
DE FASE
AGULHA
DE
AMOSTRA
DIAMANTE
Fig. 3.1 - Diagrama de blocos da estrutura interna de um perfilômetro.
Problemas intrínsecos relacionados com o próprio sistema de medida deste
equipamento, obrigam a que o perfilômetro seja operado numa área que
apresente um mínimo de vibrações mecânicas, já que estas podem causar erros na
medida, especialmente para espessuras de amostras compreendidas na menor
escala.
3.3
3.2.2.- Elipsometria
O elipsômetro é um instrumento óptico que mede mudanças no estado de
polarização de feixes colimados de luz monocromática polarizada causadas pela
reflexão em superfícies. Uma medição elipsométrica envolve a incidência de um
feixe colimado elipticamente polarizado de luz monocromática sobre a superfície
de uma amostra e a posterior determinação do estado de polarização do feixe
refletido. Os parâmetros ópticos obtidos a partir da diferença entre os estados de
polarização dos feixes incidente e refletido, em combinação com teoria física nos
permitem determinar o valor do índice de refração e da espessura da amostra
medida.
Cabe destacar aqui que o índice de refração de um determinado material é
definido como a relação entre a velocidade da luz no vácuo e a velocidade da luz
dentro do material, e é proporcional à raiz quadrada da permissividade do
material para alta frequência. Quando a densidade do material incrementa, a
velocidade da luz no material diminui e, portanto, o índice de refração
incrementa. Similarmente, um incremento na polaridade das moléculas dentro do
material decrementa a velocidade da luz no material e incrementa o índice de
refração e a constante dielétrica. Este conceito será muito importante para
podermos analisar os resultados que apresentaremos posteriormente.
A elipsometria tem certas vantagens sobre os outros métodos de medição
de espessuras e de índice de refração do filme, a saber:
− Permite a determinação do índice de refração de filmes finos de espessuras
desconhecidas.
− Não requer ambientes ou condições especiais, tais como lugares altamente
limpos ou altas temperaturas, para a realização da medida.
− O elipsômetro tipo "nulling" (tal como o que vamos a utilizar para este
trabalho) tem a vantagem que as quantidades medidas são ângulos azimutais
(ângulo de fase das componentes de polarização) os quais podem ser medidos
3.4
com alta resolução e precisão. Isto também elimina completamente os erros
causados pela variação da intensidade da luz incidente, variação na refletância
total das amostras sendo medidas, e variações do sistema detectoramplificador usado para medir a intensidade do feixe refletido.
O diagrama esquemático de um elipsômetro tipo "nulling" é mostrado na
figura 3.1. Este equipamento, apresenta dois eixos ópticos, um eixo
correspondente ao feixe incidente e outro correspondente ao feixe refletido, os
quais permitem ajustar convenientemente o ângulo de incidência com respeito à
amostra localizada na interseção dos eixos.
FONTE
DE
DETETOR
LUZ
FILTRO
DE
LUZ
POLARIZADOR
ROTATIVO
COMPENSADOR
DE UM
QUARTO
DE ONDA
Não
polarizada
ANALISADOR
ROTATIVO
nemhuma
luz para
condição
de anulação
p
p
FILME
s
s
p
SUBSTRATO
Linearmente
polarizada
s
Elípticamente
polarizada
Linearmente
polarizada
para condição
de anulação
Fig. 3.2 - Diagrama esquemático de um elipsômetro do tipo "nulling".
Uma fonte de luz colimada, monocromática, não polarizada ou polarizada
circularmente é direcionada à superfície da amostra a medir. O feixe de luz
colimado passa através do polarizador e do compensador que são montados ao
3.5
longo do eixo incidente, é refletido na amostra para logo passar pelo analisador e
entrar no fotodetector. O polarizador tem como função converter de forma
conveniente a luz primária não polarizada numa luz linearmente polarizada.
O compensador de um quarto de onda converte a luz linearmente
polarizada proveniente do polarizador numa luz elipticamente polarizada. Esta
luz posteriormente incidirá sobre a amostra com um ângulo de incidência
escolhido.
As propriedades ópticas da amostra mudam o estado de polarização do
feixe incidente. O feixe refletido passa ao fotodetector através de um prisma
analisador e um filtro de banda limitada. A posição do prisma analisador para o
qual obtém-se a condição nula ou mínima do feixe refletido no fotodetector,
produzirá uma corrente de saída proporcional ao ângulo de fase das componentes
de polarização do feixe. Este parâmetro, assim encontrado, junto com uma
conveniente análise numérica, realizada pelo próprio equipamento, permite a
determinação do valor do índice de refração e da espessura da amostra medida.
3.2.3.- Espectroscopia de absorção na região de infravermelho
A espectroscopia na região do infravermelho é uma técnica analítica
importante para o esclarecimento da estrutura molecular de compostos orgânicos
e inorgânicos. Seu campo de aplicação compreende muitas disciplinas, desde a
identificação de compostos orgânicos até o registro do espectro no infravermelho
de cristais simples.
Uma breve discussão da origem do espectro de infravermelho permitirá
um melhor entendimento desta técnica.
Todas as moléculas podem ser consideradas como átomos unidos por
ligações químicas. Quando incidimos uma determinada radiação sobre certa
molécula, uma mudança em seu estado vibracional ocorre. A frequência de
radiação necessária para mudar o estado vibracional da molécula está geralmente
compreendida na região de infravermelho (0,77µm∼1000µm). Durante o
3.6
movimento vibracional de uma molécula, devido por exemplo a uma radiação
infravermelha, a distribuição de carga suporta uma mudança periódica, e em
geral o momento dipolar muda também periodicamente. As vibrações
moleculares que estão relacionadas com uma mudança no momento dipolar são
chamadas de infravemelho ativo, enquanto que vibrações para as quais a
distribuição da carga muda e não altera o momento dipolar surgido, são
chamadas de infravermelho inativo.
Quando a frequência de radiação, incidindo sobre uma molécula, coincide
com a frequência de ressonância natural da molécula, ocorrerá uma mudança no
estado vibracional, que se for acompanhada por uma mudança no momento
dipolar (infravermelho ativo), e portanto na energia da molécula, uma banda de
absorção associada com este estado vibracional será vista no espectro de
absorção. A intensidade desta absorção dependerá da magnitude da transição do
momento dipolar enquanto que a frequência da absorção, dependerá das massas
dos átomos envolvidos e suas posições relativas para a determinada ligação
[38,39].
É mais conveniente fazer o tratamento do problema vibracional em função
de coordenadas internas que descrevem os movimentos dos átomos, tais como:
mudanças no comprimento e ângulo das ligações, e nos ângulos de torção. As
bandas no espectro de infravermelho podem ser relacionadas tanto com a
vibração longitudinal ("stretching") quanto vibração angular ("bending") de uma
ligação química particular. É importante destacar, porém, que o problema é
raramente simples, pois as frequências das vibrações não dependem somente da
natureza das ligações particulares entre dois átomos, mas também da própria
molécula e de sua vizinhança. Muitas bandas de absorção no infravermelho são
devidas a vibrações acopladas; isto é, vibrações que envolvem mais de um tipo
de movimento ocorrendo à mesma frequência.
Apesar de toda a complexidade, pode-se pensar em primeira aproximação
que grupos de frequências de absorção podem estar relacionadas aos movimentos
dos átomos individuais que compõem a molécula. Isto é, dentro da mais simples
3.7
aproximação assume-se que partes isoladas da molécula, com determinada
ligação química, podem vibrar independentemente do restante. Este conceito é
conhecido com o nome de grupo. Se tivermos um movimento complexo de
átomos, este pode ser considerado como uma agregação de um pequeno número
de movimentos básicos, os quais são definidos como vibrações fundamentais da
molécula. Estas podem ser:
− Vibrações conhecidas como stretching (ou de valência), que são movimentos
vibracionais periódicos de esticamento e relaxamento, produzindo uma banda
distinguível de absorção infravermelha observada para todas as moléculas
contidas dentro de um mesmo grupo. Podemos distinguir duas classes de
movimentos: a)"movimento simétrico", onde os dois átomos extremos
movimentam-se para dentro e fora em fase; b) "movimento assimétrico",
onde um movimenta-se para dentro e o outro para fora alternadamente (Fig.
3.3).
− Vibrações conhecidas como bending, onde o movimento vibracional ocorre
na direção perpendicular à ligação entre os átomos. Este grupo é
freqüentemente subdividido em quatro tipos: a) scissor (ou bending
propriamente dito), onde os movimentos dos átomos estão na direção da
mudança do ângulo entre os átomos que formam o grupo; b) wagging, na
qual não há mudança no ângulo entre as ligações do grupo vibrando, isto
porque a vibração toma lugar para cima e para baixo do plano, e seus
movimentos estão em fase; c) rocking, onde o ângulo entre os átomos muda
em relação ao movimento no plano dos átomos extremos em fase; d) twisting,
onde os átomos do mesmo grupo movimentam-se no mesmo plano mas em
direções opostas de um em relação ao outro (Fig. 3.3).
As descrições apresentadas acima sobre a espectroscopia de infravermelho
são corretas somente para moléculas totalmente isoladas. No mundo real,
raramente esta situação acontece. Para materiais sólidos, as moléculas
interagem, e esta interação influi no espectro de infravermelho. Isto é
3.8
manifestado como um alargamento ou um deslocamento das bandas ou
eventualmente, com a aparição de novas bandas.
Fig. 3.3 - Representação esquemática dos diferentes tipos de vibrações
fundamentais stretching e bending.
3.9
Considerando o caso de materiais amorfos, a espectroscopia de
infravermelho destes filmes pode ser descrita também da mesma forma que para
um material cristalino. No entanto, se comparados localmente com os materiais
cristalinos, mesmo tendo um arranjo de primeiros vizinhos muito similar, estes
materiais apresentam dispersão tanto na distância da ligação dos elementos
presentes, como no ângulo das ligações. Isto provoca na espectroscopia de
infravermelho de materiais amorfos basicamente um alargamento das bandas de
absorção. Isto é, o incremento na desordem de um material amorfo originará
mudanças nas ligações químicas dos elementos componentes (variação na
distância e ângulo das ligações), causando um deslocamento da frequência de
ressonância daquelas estruturas desordenadas dentro do material amorfo,
alargando portanto a banda de absorção. Outra diferença no espectro na região de
infravermelho destas amostras pode incluir deslocamentos das frequências de
absorção devido à influência de grupos próximos de átomos.
A técnica de medição de um espectrômetro infravermelho comum consiste
na utilização de um monocromador para selecionar cada comprimento de onda de
luz. Um sistema de grades, filtros e fendas separam a luz em diferentes
frequências e cada uma destas regiões (∆ν) do espectro de energia é incidida
sobre a amostra. A resposta da amostra para cada ∆ν é medida no detector
seqüencialmente. Já no caso de um espectrômetro de infravermelho por
transformada de Fourier (FTIR), a luz não é separada em frequências individuais,
mas é modulada por um interferômetro. Todas as frequências incidem na amostra
ao mesmo tempo e a resposta desta amostra para todas as frequências é detectada
simultaneamente. Dado que os resultados dos espectros medidos pelo FTIR não
são visualizáveis diretamente, um computador é usado posteriormente para a
manipulação matemática necessária (utilizando transformada rápida de Fourier)
para obter o espectro de absorção na região do infravermelho da amostra medida
[40].
3.10
Esta técnica será de fundamental importância no nosso estudo de películas
de SiO2, portanto um breve estudo espectral deste material ajudará no
entendimento de nossa pesquisa.
Espectros de absorção no infravermelho de filmes de SiO2 tipicamente
mostram uma banda intensa na faixa de 1100 a 1050 cm-1, devida ao modo
vibracional de stretching da ligação silício-oxigênio (Si-O). A intensidade,
posição, e forma da banda depende da estequiometria do filme e varia portanto,
com as condições de deposição e parâmetros de processo do filme. Além do
modo stretching de Si-O, os filmes apresentam os modos bending a 800 cm-1 e
rocking a 465 cm-1, como podemos apreciar na tabela 3.1.
Tabela 3.1 - Principais modos vibracionais do SiOx e suas respectivas
frequências de ressonância.
frequência de ressonância
Modo Vibracional
para o SiO2 (cm-1)
1150
stretch do Si-O2 fora de fase
1075
stretch do Si-O2 em fase
940
stretch do Si-O (Si dopado com oxigênio)
800
bend do Si-O2
465
rock do Si-O2
Os espectros de infravermelho de filmes de SiOx depositados por CVD
tem sido usados para obter informação acerca da estrutura local ao correlacionar
a quantidade de oxigênio no filme com a frequência de vibração do modo
stretching da ligação Si-O. Pai et al. [41], determinaram que a frequência do
modo stretching decresce desde 1075 cm-1 para filmes de SiO2 estequiométricos
até 940 cm-1 para silício amorfo dopado com oxigênio. O decréscimo pode ser
atribuído à diferença na frequência de vibração entre a ligação Si-O e a ligação
Si-Si. A medida que a concentração de oxigênio diminui na estrutura do filme,
existirá uma maior influência espectral da ligação Si-Si. O comprimento médio
da banda de Si-O e a intensidade de um pequeno pico observado em 1150 cm-1
3.11
também são dependentes da concentração de oxigênio no filme. Pai et al.
concluíram que o alargamento da banda de stretching (1075 cm-1) a medida que a
concentração de oxigênio diminui é consequência da mudança das ligações que
ocorrem para o átomo de oxigênio. A fusão do pico de stretching em fase (1075
cm-1) com o pico de stretching fora de fase (1150 cm-1) a medida que diminui a
concentração de oxigênio contribui também para o aumento da largura média.
3.3.- TÉCNICAS DE CARACTERIZAÇÃO ELÉTRICA:
A caracterização elétrica dos filmes de SiO2 depositados por PECVD a
baixas temperaturas envolvem fundamentalmente três passos:
- Fabricação de capacitores MOS utilizando nossa película de SiO2 como camada
isolante de porta.
- Medição, nos dispositivos MOS fabricados, das curvas I-V, C-V em alta e baixa
frequência e tensão máxima de ruptura.
- Correlação dos resultados obtidos com a predição de modelos teóricos básicos.
A medida da corrente que passa por um determinado capacitor MOS
(medido experimentalmente) em função da tensão aplicada em sua porta
representa a curva I-V deste dispositivo. Esta curva permite a obtenção de vários
parâmetros elétricos, como veremos posteriormente.
Para a obtenção da capacitância em baixa frequência em função da tensão
aplicada à porta do capacitor MOS, realiza-se também a medida da corrente que
passa por este dispositivo. A fórmula dada a seguir (equação 3.1) permite
posteriormente correlacionar a corrente medida com a capacitância em baixa
frequência:
C=
I
∂ .v
∂ .t
(3.1)
3.12
onde:
I
= Valor da corrente medida sobre o capacitor MOS.
dv/dt = Velocidade da rampa de tensão aplicada sobre o capacitor.
Cabe destacar que esta medida só será possível se o equipamento tem a
capacidade de sincronizar a ativação da rampa de tensão com a medição da
corrente.
Para a obtenção da curva de C-V em alta frequência nós medimos a
impedância (tanto o módulo como o ângulo de fase) do capacitor MOS. O valor
deste parâmetro permite a obtenção posterior da capacitância e da condutância do
dispositivo. Para isto deve-se aplicar uma rampa escalonada de tensão contínua
somada a uma tensão alterna de pequeno sinal sobre a porta do dispositivo.
Finalmente, para a obtenção do campo elétrico máximo de ruptura do
dispositivo, aplicam-se tensões progressivamente mais elevadas até se observar
uma mudança brusca (de várias ordens de grandeza) no valor da corrente medida.
O valor da tensão para o qual aconteceu a mudança brusca da corrente em
conjunto com um modelo matemático, que explicaremos a seguir, nos permitem
determinar o campo máximo de ruptura de nossa película.
3.3.1.- Equações básicas para a determinação das características elétricas
Os diferentes parâmetros elétricos de nosso material podem ser obtidos
correlacionando os resultados das quatro medidas elétricas mencionadas acima
com as predições dos modelos teóricos básicos:
a) Resistividade: O valor da resistividade de nosso filme pode ser calculado
utilizando os resultados da medida da curva de I-V dos capacitores MOS
fabricados.
Certas precauções tem que ser tomadas na medição da curva I-V dos
capacitores MOS, utilizada no cálculo da resistividade, entre as quais a mais
3.13
importante é a necessidade de aplicar uma tensão adequada na porta do capacitor
MOS que origine uma queda quase total desta tensão no óxido de porta deste
dispositivo, evitando assim a influência da camada de depleção do substrato na
resistência do dispositivo. Isto só acontece quando o capacitor MOS medido se
encontra na região de acumulação.
Cabe destacar também que para o caso dos materiais isolantes (tais como
o SiO2), eles apresentam dois diferentes regimes de condução:
- Regime ôhmico : Ele se comporta como uma resistência variando a corrente
linearmente com a tensão. Este regime ocorre para baixas tensões aplicadas,
quando os portadores de carga são principalmente os elétrons gerados
termicamente.
- Regime de injeção ou controlado por carga espacial: Este ocorre para tensões
aplicadas mais elevadas onde os portadores injetados começam a dominar a
condução. Nesta situação o material deixa de ser eletricamente neutro,
passando a apresentar carga espacial.
O
método
de
extração
do
valor
da
resistividade
consiste
fundamentalmente em gerar um gráfico com os resultados da medida de I-V,
apresentando-se os eixos de tensão e de corrente num formato logarítmico.
Realizado isto, desenha-se no mesmo diagrama uma reta cuja tangente seja 1 e
procura-se a região da curva que tenha a mesma inclinação que a reta desenhada.
A região assim selecionada constituirá a parte ôhmica da medida total de I-V do
dispositivo MOS.
Identificada a região linear da curva característica I-V do dispositivo,
procedemos ao cálculo da resistência e resistividade do material por meio da lei
de Ohm:
3.14
R=
∆v
∆i
ρ = R×
A
dox
(3.2)
onde:
∆v
= Variação da tensão da porta do capacitor MOS.
∆i
= Variação da corrente que passa pelo dispositivo.
ρ
= Resistividade do material.
R
= Resistência do material calculado pela medida da curva I-V.
A
= Área do capacitor MOS.
dox
= Espessura do filme de SiO2.
b) Tensão de banda plana: A tensão de banda plana pode ser obtida utilizando
os resultados da medida da curva C-V em alta frequência. Nosso primeiro passo
é calcular a capacitância de banda plana de acordo com os modelos teóricos
básicos por meio da equação mostrada a seguir [42]:
Cfb = ε ox × ε o ×
A

KT ε si ⋅ ε o 
ε
×
 dox + ox ×

N 
ε si
q2

(3.3)
onde:
Cfb
= Capacitância total do dispositivo para o qual a tensão superficial do
silício é igual a zero (φs = 0).
eox
= Permissividade dielétrica relativa do SiO2( 3,9).
eo
= Permissividade dielétrica no vazio (8,854 x 10-14 F/cm).
esi
= Permissividade dielétrica relativa do silício (11,7).
K
= Constante de Boltzmann ( 8,617 x 10-5 ev/K).
3.15
q
= Constante que indica a carga do elétron (1,6 x 10-19 Coulombs).
N
= Concentração de dopantes dentro da lâmina de silício.
Utilizando este valor de Cfb calculado e a curva de C-V em alta frequência
procuramos a tensão de porta para a qual a capacitância medida tem o valor da
capacitância de banda plana calculada. A tensão assim encontrada será a tensão
de banda plana (vfb).
c) Densidade de carga efetiva dentro do SiO2: É muito importante determinar a
densidade de carga dentro do SiO2, já que esta carga pode originar entre outras
coisas a variação do potencial de superfície do silício (φs), o aumento da tensão
de banda plana e a inversão lateral nos capacitores MOS de tipo p [43]. Para o
cálculo da carga efetiva dentro do SiO2 utilizamos os resultados da medida da
curva de C-V em alta frequência e a equação apresentada a seguir [44]:
Neff =
Cox
q× A
× ( wms − vfb)
(3.4)
onde:
Neff
= Densidade de carga efetiva dentro do SiO2.
Cox
= Capacitância do SiO2. Este valor obtém-se da curva experimental de CV em alta frequência e consiste na capacitância máxima total medida na
região de acumulação.
vfb
= Tensão de banda plana calculada da forma mencionada anteriormente.
wms
= Diferença da função de trabalho entre o metal e o semicondutor.
Especificamente, a função de trabalho entre o alumínio (metal) e o silício
(semicondutor) pode ser obtida mediante a seguinte equação [45]:
3.16
wms = −0.6 +
KT
 ni 
× ln  
 NA  para tipo p
q
wms = −0,6 +
KT
 ND 
× ln  
 ni  para tipo n
q
(3.5)
onde:
wms
= Diferença da função de trabalho entre o alumínio e o silício.
T
= Temperatura do dispositivo em graus Kelvin.
ni
= Concentração de portadores intrínsecos no silício (1,45x1010 cm-3).
NA
= Concentração de aceitadores dentro do silício.
ND
= Concentração de doadores dentro do silício.
d) Resistência Série (Rs): A resistência série em um dispositivo MOS é um
parâmetro elétrico que pode alterar os resultados das medições da curva de C-V
em alta frequência, originando sérios erros na extração das características
elétricas deduzidas desta curva. O grau de influência destes erros dependerá da
tensão aplicada na porta do capacitor e da frequência da medida. Assim para
evitar erros na extração dos parâmetros é necessário corrigir a curva experimental
medindo o valor da resistência série e logo incluindo-a na admitância paralela
medida.
A resistência série num dispositivo MOS pode ser produzida por quatro
diferentes fontes: O contato feito pela ponta de medida na porta do capacitor
MOS, o contato feito nas costas da lâmina de silício, a resistência da região quase
neutra e da região de depleção da lâmina de silício e a distribuição não uniforme
de dopantes na região de silício sob a porta do capacitor.
Para medir a resistência série de um capacitor MOS, polarizamos este
dispositivo com uma tensão suficientemente alta, levando-o à região de
acumulação forte. Nesta região o circuito equivalente do capacitor MOS consiste
na capacitância do SiO2 em série com Rs. A partir dos valores da capacitância
3.17
paralela e da condutância paralela medidas podemos obter, então, o valor da
resistência série utilizando a equação [46]:
Rs =
Gma
Gma 2 + ω 2 ⋅ Cma 2
(3.6)
onde:
Rs
= Resistência série do dispositivo MOS.
Gma
= Condutância paralela medida.
Cma
= Capacitância paralela medida.
w
= Frequência de medida.
Conhecendo a resistência série do respectivo capacitor MOS, podemos
calcular agora a capacitância corrigida para cada ponto de tensão de porta
utilizando a seguinte equação [47]:
Cc
G
(
=
2
ma
)
+ ω 2 ⋅ Cma 2 × Cma
a 2 + ω 2 ⋅ Cma 2
(3.7)
onde:
a
= Gma - (Gma2 + w2.Cma2) x Rs
Cc
= Capacitância corrigida considerando o efeito da resistência série.
e) Permissividade dielétrica do SiO2: A permissividade é uma medida da
polarização do dielétrico dentro de um campo elétrico. Um incremento na
polaridade do material (devido por exemplo à incorporação do nitrogênio ou
hidrogênio na estrutura do filme) incrementa a permissividade do material.
Também, um decremento da densidade do filme causa uma diminuição na
permissividade assumindo que a qualidade do material permanece constante.
3.18
O valor da capacitância corrigida na região de acumulação forte, calculada
a partir da equação mostrada acima, corresponde à capacitância do SiO2 de porta
de nosso dispositivo. Como é sabido, esta capacitância devida ao SiO2 está
relacionada com a permissividade dielétrica da seguinte forma:
ε ox =
1 Cc ⋅ d ox
×
εo
A
(3.8)
Desta maneira, podemos calcular a permissividade dielétrica de nosso
material conhecendo simplesmente a capacitância do dispositivo na região de
acumulação.
f) Estados na interface Si/SiO2 (Dit): Os estados de interface são defeitos
localizados na interface Si/SiO2, cada um dos quais origina um ou mais níveis de
energia dentro da banda proibida do semicondutor. Estes defeitos podem trocar
carga com o volume da lâmina de silício. Especificamente, eles podem interagir
com a banda de condução do silício capturando ou emitindo elétrons e com a
banda de valência capturando ou emitindo lacunas.
Para o cálculo dos estados de interface utilizamos os resultados das
medidas das curvas de C-V em alta e baixa frequência. De acordo com o modelo
desenvolvido por Nicollian [48], a densidade de estados na interface Si/SiO2 é
dado por:
1  1
1 

Dit = × 
−
q  Clf Cox 
−1
 1
1 

− 
−
C
C
 hf
ox 
onde:
Dit
= Estados na interface Si/SiO2.
−1
(3.9)
3.19
Clf
= Capacitância medida em baixa frequência.
Chf
= Capacitância medida em alta frequência.
Cox
= Capacitância do SiO2.
g) Campo de ruptura do SiO2: O campo de ruptura é o máximo campo elétrico
que pode suportar o SiO2 antes de que o dispositivo MOS se danifique totalmente
(quebra dielétrica do óxido). Este parâmetro pode ser obtido encontrando a
tensão na qual acontece o curto no capacitor MOS. Dada esta tensão devemos
saber que porção da mesma está aplicada sobre o SiO2, sabendo que:
v ox = v g − v fb − φ s
(3.10)
onde:
vox
= Tensão máxima de ruptura aplicada no SiO2.
vg
= Tensão máxima aplicada na porta do capacitor que origina a ruptura do
SiO2 (aumento abrupto da corrente no dispositivo).
obtemos:
Eox =
Vox
dox
(3.11)
onde:
Eox
= Campo elétrico de ruptura do SiO2..
Estes procedimentos de extração de parâmetros serão posteriormente
utilizados na análise dos resultados obtidos.
CAPÍTULO 4
PARTE EXPERIMENTAL
4.1.- INTRODUÇÃO
Na primeira parte deste capítulo discutimos as
técnicas de PECVD conhecidas atualmente e explicamos o funcionamento do
sistema de deposição por PECVD que foi utilizado para o crescimento dos filmes
estudados neste trabalho. Descrevemos as partes que compõem este reator e o
sistema que controla cada parâmetro do processo permitindo determinar a
influência de cada parâmetro variável de processo nas características estruturais e
composicionais das películas.
A seguir, indicamos as condições de deposição utilizadas no crescimento
de cada uma das amostras e descrevemos os equipamentos e os métodos
utilizados para a caracterização estrutural, composicional e elétrica destes filmes.
4.2.- TÉCNICAS DE PECVD
O processo de deposição química a vapor (CVD) é uma importante técnica
na fabricação de dispositivos eletrônicos. Este processo consiste na deposição de
películas sólidas não voláteis sobre um substrato através da reação de vapores
químicos (reagentes) que contém os constituintes requeridos para a formação de
um determinado material. A energia necessária para realizar as quebras dos gases
reagentes é fornecida pelas altas temperaturas utilizadas no processamento.
Dentro desta técnica destacamos o processo de deposição química a vapor
assistida por plasma (PECVD) que, igualmente ao processo CVD, permite o
crescimento de películas a partir da mistura adequada de determinados gases
4.2
químicos, mas, além da utilização da temperatura para realizar as quebras dos
gases reagentes, esta técnica utiliza também um campo elétrico (que pode ser
contínuo ou alternado) que excita os gases e inicia e mantém uma descarga
luminosa dentro da câmara de processo. Os elétrons excitados, altamente
energéticos suprem suficiente quantidade de energia aos gases reagentes,
transformando-os em várias espécies ativas que ao reagir quimicamente resultam
na formação da película. A formação destas espécies ativas pelo plasma facilita
assim a deposição do filme a temperaturas mais baixas.
As técnicas de PECVD podem ser classificadas em função do tipo de
excitação utilizada: campo elétrico contínuo ou campo elétrico alternado. Dentro
das técnicas com campo elétrico alternado podemos distinguir aquelas que
utilizam baixa frequência, rádio frequência (rf) ou microondas. O tipo mais
utilizado para a deposição de filmes finos amorfos é a técnica com campo
elétrico de rf. Dentro deste tipo podemos distinguir também algumas
classificações em função da forma do acoplamento do campo elétrico (ou
potência) de rf, posição dos eletrodos, seleção do gás reagente excitado, entre
outras.
Dependendo do tipo de acoplamento da potência de rf, os processos de
PECVD podem ser agrupados em duas categorias, reatores com eletrodos
externos e acoplamento indutivo e reatores com eletrodos internos e acoplamento
capacitivo.
A figura 4.1 esquematiza um reator PECVD com acoplamento indutivo.
Tal como podemos apreciar neste diagrama, os eletrodos existentes neste sistema
encontram-se fixados na parede externa do tubo. Esta característica particular de
colocar os eletrodos no exterior da câmara de deposição tem a grande vantagem
de eliminar a contaminação proveniente da ação erosiva do plasma sobre o
material que os constitui. Porém, esta configuração apresenta a desvantagem de
que o plasma atua sobre a parede interna da câmara influindo nas características
de crescimento das películas depositadas. Com a finalidade de minimizar esta
ação, o diâmetro deve ser grande o suficiente para manter o substrato bem
4.3
afastado das paredes internas do tubo, mas por outro lado, cabe destacar que a
transmissão da potência do gerador de rf ao plasma converte-se num fator
limitante das dimensões da câmara.
BOBINA INDUTORA
SUBSTRATO
PORTA-SUBSTRATO
CÂMARA DE DEPOSIÇÃO
Fig. 4.1 - Diagrama esquemático de um reator com acoplamento indutivo.
Podemos apreciar que os eletrodos estão localizados na parte
exterior da câmara de processo.
Outra desvantagem deste tipo de sistema refere-se à não homogeneidade
na espessura das películas depositadas. Isto se deve ao fato da distribuição da
densidade do plasma não ser uniforme (simetria axial). Assim, a posição da
amostra no substrato é um fator importante para se obter boa reprodutibilidade
nas deposições das películas.
Os problemas apresentados neste tipo de reator de acoplamento indutivo
podem ser superados utilizando reatores com eletrodos internos e acoplamento
capacitivo. Neste tipo de reator, esquematizado na figura 4.2, os eletrodos de rf
são conectados a duas placas ou grades paralelas localizadas no interior da
câmara, permitindo um acoplamento capacitivo do gerador de rf. Deste modo a
transmissão da potência ao plasma independe do tamanho do reator. A
homogeneidade na espessura dos filmes obtidos com esta técnica melhora
4.4
notavelmente já que agora a distribuição da densidade do plasma é uniforme
sobre todo o substrato (simetria planar).
SUBSTRATO
RF
GERADOR
DE RF
ELETRODOS
PORTA-SUBSTRATO
CÂMARA DE DEPOSIÇÃO
Fig. 4.2 - Diagrama esquemático de um reator de acoplamento capacitivo.
Os eletrodos estão conectados a duas placas paralelas no interior
da câmara de processo.
Outro tipo de classificação da técnica PECVD, considera o tipo de gás
reagente a ser excitado na deposição e a localização do plasma com respeito ao
substrato; assim, podemos distinguir duas categorias, uma excitação por plasma
direto (DPECVD ou PECVD propriamente dito) e uma excitação por plasma
remoto (RPECVD) [49].
A diferença básica entre estas duas categorias fundamenta-se na
possibilidade existente no RPECVD de selecionar o gás reagente a ser excitado
pelo plasma, diminuindo assim as chamadas reações heterogêneas já explicadas
no capítulo 2.
A figura 4.3 contém o desenho de um reator típico para um processo
PECVD ou DPECVD propriamente dito.
Nesta figura esquematizamos um reator mostrado com acoplamento
capacitivo para o subministro de energia de rf. Neste caso, uma das placas do
capacitor serve como porta-substrato (configuração tipo diodo).
4.5
RF
2.
1.
1.
3.
3.
À BOMBA DE VÁCUO
Fig. 4.3 - Representação esquemática de um reator PECVD direto ou
convencional com acoplamento capacitivo e configuração tipo
diodo.
O processo de deposição no sistema da figura 4.3 compreende três passos:
1.
Injeção dos gases reagentes à câmara de deposição.
2.
Decomposição dos gases reagentes ativada por plasma de rádio-frequência.
3.
Deposição do filme fino no substrato aquecido.
GERADOR
c.a. DE RF
FONTE
D.C.
ELETRODOS
SUBSTRATO
PORTA- SUBSTRATO
Fig. 4.4 - Esquema simplificado do sistema de rf com acoplamento
capacitivo e método de separação espacial do plasma
(configuração tipo triodo). Como podemos apreciar o plasma
é mantido afastado da amostra.
4.6
Alternativamente, é possível também acoplar a energia de rf dentro do
reator utilizando uma configuração tipo triodo (tal como podemos apreciar na
figura 4.4) onde o porta-substrato encontra-se afastado das placas de rf,
diminuindo os danos por bombardeamento de íons. Este tipo de acoplamento é
justamente o mesmo que nosso sistema de PECVD utiliza.
A figura 4.5 contém uma representação esquemática de um reator típico
para um processo RPECVD.
N20, H2, He
BOBINA INDUTORA
1.
3.
SILANO
2.
4.
SUBSTRATO
PORTA-SUBSTRATO
À BOMBA DE VÁCUO
Fig. 4.5 - Desenho de um reator RPECVD com acoplamento indutivo.
Este processo pode ser dividido em quatro passos:
1.
Excitação por plasma de um ou mais gases reagentes (mas não todos) em
conjunto com um gás inerte utilizado como diluente (por exemplo, O2 ou
N2O somado a um gás inerte, tal como He)
2.
Extração das espécies ativadas (por exemplo, oxigênio somado a átomos
excitados de He) da região do plasma.
4.7
3.
O transporte das espécies ativadas para uma região livre de plasma, onde
elas serão misturadas e combinadas com algum outro gás reagente,
usualmente SiH4 diluída em Argônio. Esta reação, geralmente, forma as
moléculas precursoras que contém os grupos de ligações do filme desejado,
por exemplo, Si-O.
4.
Deposição do filme num substrato quente numa região livre de plasma
dentro da câmara do reator.
Na figura 4.5, a potência de rf é acoplada ao sistema indutivamente, mas,
alternativamente um acoplamento capacitivo pode também ser usado.
Do mencionado anteriormente podemos concluir que com o processo
DPECVD temos o inconveniente de quebrar sem discriminação as moléculas
participantes no processo de deposição, enquanto que com a técnica de RPECVD
selecionamos o tipo de radical a ser formado, controlando assim a composição e
a estequiometria do filme.
4.3.- OBTENÇÃO DOS FILMES
Todos os filmes estudados neste trabalho foram crescidos no reator
PECVD No. 1 do Laboratório de Microeletrônica da Escola Politécnica da
Universidade de São Paulo. O sistema é do tipo DPECVD com configuração do
tipo triodo e acoplamento capacitivo. Na figura 4.6, apresentamos um diagrama
esquemático do sistema de controle e acesso dos gases.
O sistema permite controlar vários parâmetros de deposição, tais como:
potência de rf, fluxo dos gases, pressão do processo e temperatura do portasubstrato.
4.8
válvula pneumática
"on / off"
sensor de
pressão
sensor / controlador
de fluxo
....
visor
vâlvula manual
tipo borboleta
reator
....
vâlvula
gaveta
booster
vâlvula
automática
bomba
difusora
saída de
gases
gases
bomba mecânica
da etapa de deposição
bomba mecânica
da difussora
saída de
gases
Fig. 4.6 - Representação esquemática do reator PECVD existente no
Laboratório de Microeletrônica da Escola Politécnica da
Universidade de São Paulo.
O reator conta com dois sistemas de bombeamento de vácuo, um para
processo de limpeza da câmara (alto vácuo através da bomba difusora) e o outro
para a etapa de deposição (bomba mecânica de processo).
A etapa de alto vácuo tem como função garantir que a deposição seja tanto
quanto possível isenta de contaminantes prejudiciais às características dos filmes,
e é ativada horas antes de se realizar a deposição. Este sistema é composto de
uma bomba difusora de óleo, CVC modelo PMC-6B, assistida por uma bomba
rotatória de pre-vácuo. Isto permite que se atinjam pressões em torno de 10-6 torr
no interior da câmara de processo. A monitoração da pressão é realizada através
de um medidor de ionização do tipo Bayard-Alpert ("Ion-Gauge"), capaz de
medir entre 10-3 e 10-9 torr.
4.9
O sistema de vácuo para a etapa de deposição é composto por uma bomba
mecânica rotatória de duplo estágio modelo E2M80 em série com outra do tipo
"Booster" EH-250, ambas de fabricação Edwards. O controle da pressão de
deposição pode ser realizado manualmente através de uma válvula tipo borboleta
ou com uma válvula automática ("throttle valve") controlada por um
equipamento de fabricação MKS. A monitoração da pressão para esta etapa é
realizada através de um medidor do tipo pressão absoluta (sistema capacitivo,
Baratron-122A-MKS) que permite medidas entre 10 e 10-3 torr. Este medidor de
tipo baratron é um manômetro cujo funcionamento independe da espécie gasosa,
realizando a medida através da variação da capacitância devido à influência da
pressão sobre umas das placas do capacitor.
As bombas para a etapa de deposição encontram-se o mais próximo
possível da câmara de deposição com a finalidade de diminuir ao máximo as
impedâncias impostas pela tubulação, permitindo um ótimo aproveitamento das
velocidades nominais de bombeamento.
A câmara de deposição é constituída por um recipiente de forma cilíndrica
de aço inoxidável contando com dois visores cilíndricos e duas entradas de gases.
As dimensões aproximadas da câmara são de 40 cm de altura e 35 cm de
diâmetro.
O sistema de geração de rf é composto por uma fonte modelo RFX-600,
Advanced Energy Corp, operando na frequência de 13,56 MHz com uma
potência máxima permitida de 600 watts e por um cassador de impedâncias. O
fornecimento deste sinal de rádio-frequência à mistura gasosa é feito através de
um acoplamento capacitivo composto por duas grades de 400 cm2 de área (20 cm
x 20 cm). O sistema utiliza o método de separação espacial do plasma (sistema
tipo triodo) [50] no qual os gases são decompostos numa região afastada do
substrato, diminuindo o bombardeamento de íons e/ou elétrons sobre a película
que está sendo depositada.
4.10
Este tipo de configuração permite ao porta substrato funcionar como um
terceiro eletrodo, podendo ser polarizado positiva ou negativamente em relação
ao eletrodo ativo da fonte de rf, conforme mostrado na figura 4.4.
A aplicação de um campo elétrico constante externo permite assim estudar
a variação das características das películas depositadas em função da polarização
aplicada. Vários trabalhos publicados sobre este assunto [51,52,53,54] indicam
que é possível controlar o bombardeamento de íons através da polarização,
possibilitando uma sensível melhora nas características elétricas das películas.
O sistema de aquecimento do porta substratos é do tipo resistivo com uma
temperatura máxima de operação da ordem de 600 oC. A monitoração da
temperatura é feita via um termopar tipo K que está em contato direto com o
porta substrato.
Os gases são encaminhados através de linhas de aço inoxidável
eletropolidas de 1/4" de diâmetro que ligam as garrafas com a câmara de
deposição. Antes de alcançarem a câmara esses gases passam por duas válvulas,
uma de abertura variável para o controle eletrônico do fluxo (Controladora de
fluxo de massa, "Mass Flow Controlers") e outra pneumática de corte instantâneo
(tipo 2258, fabricado pela MKS). A válvula controladora de fluxo de massa, que
efetua a regulação e monitoração do fluxo, não é capaz de obstruir totalmente a
passagem do gás, sendo por isto necessária a atuação da válvula pneumática que
executa a função de on / off. Os reguladores de fluxo são controlados através de
uma unidade de controle computadorizada fabricada pela MKS. As válvulas
pneumáticas necessitam de um sistema de ar comprimido, que inclui filtros,
reguladores de pressão e válvulas solenóide, que possibilitam a automatização do
processo de entrada dos gases.
Neste trabalho foram utilizados 3 gases: Silano (SiH4), Hidrogênio (H2) e
óxido nitroso (N2O) todos a 100% de composição.
4.11
4.4.- AMOSTRAS DEPOSITADAS PARA A ANÁLISE ESTRUTURAL E
COMPOSICIONAL
Tabela 4.1.- Condições de deposição das amostras utilizadas para a análise
estrutural e composicional.
NOME
TEMPERATURA
(oC)
PRESSÃO DE
DEPOSIÇÃO
(mtorr)
FLUXO de
SiH4
(sccm)∗
DENSIDADE
POTÊNCIA
(mW/cm2)∗∗
RELAÇÃO
N2O/SiH4
PARÂMETRO
VARIÁVEL
OX-17
OX-15
OX-16
OX-18
230
280
320
370
8
8
8
8
3
3
3
3
150
150
150
150
4
4
4
4
OX-39
OX-40
OX-41
OX-42
OX-43
320
320
320
320
320
16
50
75
100
150
3,2
3,2
3,2
3,2
3,2
250
250
250
250
250
13
13
13
13
13
OX-24
OX-22
OX-21
OX-20
OX-19
OX-23
280
280
280
280
280
280
13
14
17
23
29
48
3
5
10
15
20
40
150
150
150
150
150
150
4
4
4
4
4
4
FLUXO DE
SILANO
OX-26
OX-25
OX-13
OX-27
320
320
320
320
8
8
8
8
3
3
3
3
50
100
150
250
4
4
4
4
POTÊNCIA DE
R.F.
OX-27
OX-28
OX-39
OX-48
OX-50
OX-51
320
320
320
320
320
320
8
12
16
19
22
46
3
3
3,2
3,2
3,2
3,2
250
250
250
250
250
250
4
9
13
16
20
60
RELAÇÃO
N2O/SiH4
TEMPERATURA
PRESSÃO
DEPOSIÇÃO
∗ A unidade métrica utilizada neste trabalho para a medida do fluxo de massa de um
determinado gás é o "standard cubic centimeter per minute" (sccm), cuja equivalência é:
1sccm = 1 cm3 de gás a pressão atmosférica e 25 oC por minuto.
∗∗ A densidade de potência mostrada na tabela foi obtida da divisão da potência de rf
aplicada nos eletrodos dividida pela área dos mesmos (400 cm2).
4.12
Como foi mencionado anteriormente, cinco parâmetros de deposição
foram variados: O fluxo de silano, a pressão de deposição, a potência de rf, a
temperatura do substrato e a relação de fluxos N2O e SiH4. A tabela 4.1 mostra as
condições de deposição de todas as amostras estudadas.
Cabe destacar nesta tabela que para o caso do estudo da variação do fluxo
do silano e da variação da relação de fluxos N2O/SiH4, a pressão de deposição
variou ante o incremento do parâmetro em estudo. Este fato ocorreu porque nós
decidimos manter constante o tempo de residência no mínimo valor possível para
diminuir a probabilidade das reações heterogêneas. Por outro lado, salvo as
deposições com fluxos muito altos, a pressão de deposição se manteve baixa com
o qual o livre caminho médio foi alto para todas as deposições.
Em cada deposição utilizaram-se vários tipos de substratos, escolhidos em
função de suas vantagens com relação ao método de caracterização física
experimental pretendido. Na tabela 4.2 mostramos os diferentes tipos de
substratos e a técnica de caracterização física para o qual foi utilizada.
Tabela 4.2 - Tipo de substrato utilizado para cada técnica de caracterização
estrutural e composicional.
TIPO DE SUBSTRATO
TÉCNICA DE CARACTERIZAÇÃO
vidro comum
perfilometria
silício policristalino de baixa resistividade
polido em ambas faces
espectroscopia de
infravermelho
silício monocristalino tipo n+ polido
elipsometria
4.5.- CARACTERIZAÇÃO ESTRUTURAL E COMPOSICIONAL DOS
FILMES :
As técnicas de caracterização utilizadas para estudar as propriedades dos
filmes foram perfilometria, elipsometria e espectroscopia de infravermelho. Uma
análise dos resultados destas caracterizações permitiu posteriormente determinar
4.13
a influência de cada parâmetro variável sobre as propriedades estruturais e
composicionais das películas.
A seguir, fazemos uma descrição mais detalhada de como foram
realizadas essas caracterizações.
4.5.1.- Perfilometria:
Para as medidas da espessura de nossas películas de SiO2 utilizamos o
perfilômetro Dektak-3030 existente no Laboratório de Sistemas Integráveis da
Escola Politécnica da Universidade de São Paulo. Para esta medida os filmes
foram depositados sobre substratos de vidro onde parte dele era coberta com uma
máscara mecânica que não permitia a deposição do filme na região selecionada
do substrato. Desta maneira definíamos um degrau na amostra que possibilitava a
medida da espessura com o perfilômetro.
O perfilômetro DEKTAK 3030 permite realizar medidas de espessura de
alta precisão com uma margem de erro de ± 60 Å. A agulha utilizada para a
medida é de diamante com um diâmetro de 12,5 µm. Para obter a máxima
precisão o equipamento deve ser operado numa área livre de vibrações
excessivas e montado sobre uma mesa de isolamento vibracional para evitar
fontes de ruídos externas que afetem o processo de medida. Tais considerações
foram tomadas em conta ao momento de realizar as medidas de espessura.
4.5.2. Elipsometria:
As películas depositadas em substratos de silício monocristalino foram
utilizadas para a medida do índice de refração e espessura valendo-se de um
elipsômetro RUDOLPH RESEARCH-AUTO EL NIR-3/4D/SS1. Para esta
técnica utilizaram-se feixes incidentes de 3 comprimentos de onda de 4050, 6328
e 8300 cm-1 incididos alternadamente sobre a superfície da amostra. O estado de
polarização do feixe refletido é dependente das propriedades estruturais da
4.14
película medida, como mostrado no capítulo 3. Os parâmetros ópticos medidos,
que caracterizam a diferença entre os estados de polarização dos feixes incidente
e refletido, em combinação com modelos físicos teóricos, permitem determinar o
índice de refração e a espessura das amostras.
As principais características deste equipamento são:
− Resolução e precisão máxima de medidas de espessura na faixa de 3Å a 10Å
(para óxido de silício sobre silício).
− Precisão na medida do índice de refração com um erro absoluto de ± 0.005
− Comunicação com o microcomputador permitindo a medida e a visualização
em dois ou três dimensões de até 600 pontos por lâmina.
4.5.3.- Espectroscopia de Absorção na região de Infravermelho:
A técnica de espectroscopia vibracional de absorção fornece informações
sobre a composição e estrutura das películas analisadas. Esta medida é simples,
reprodutível (posto que não destrói a amostra) e altamente determinativa para
uma análise qualitativa e quantitativa do tipo de ligações existentes no filme
depositado. O sistema baseia-se na obtenção da quantidade de energia absorvida
pelas moléculas como consequência dos diferentes modos vibracionais dos
grupos moleculares existentes no filme.
Os filmes utilizados para tal fim, foram depositados em silício
policristalino de alta resistividade, polido em ambas faces, para minimizar ao
máximo o espalhamento do feixe de luz nas superfícies.
Neste trabalho utilizou-se o espectrofotômetro por transformada de
Fourier, FTS-40 da marca BIO-RAD existente no Laboratório de Microeletrônica
da Universidade de São Paulo para a obtenção dos espectros de absorção na
região de infravermelho. Estes espectros foram normalizados posteriormente pela
espessura do respectivo filme e corrigida sua linha de base com a finalidade de
4.15
fazer uma comparação direta dos espectros das diferentes amostras. Este
equipamento tem a capacidade de medir o espectro de absorção de uma amostra
numa faixa de 400 cm-1 até 4000 cm-1 com uma resolução de até 2 cm-1.
4.6.- CARACTERIZAÇÃO ELÉTRICA DOS FILMES
Para poder determinar os diferentes parâmetros elétricos dos filmes de
SiO2 crescidos por PECVD a baixas temperaturas, nós fabricamos capacitores
MOS de três áreas diferentes: 0,01 cm2 (grande), 0,0025 cm2 (médio) e 0,0009
cm2 (pequeno) utilizando nossa película de SiO2 como camada isolante de porta.
A fabricação destes dispositivos possibilitou a extração das curvas de Corrente
versus Tensão de porta (I-V), Capacitância versus Tensão de porta em alta
frequência (C-V alta) e Capacitância versus Tensão de porta em baixa frequência
(C-V baixa). Posteriormente, correlacionando estas curvas experimentais com os
modelos teóricos básicos obtivemos os diferentes parâmetros elétricos, tais
como: resistividade, permissividade dielétrica, tensão de ruptura, cargas fixas e
estados na interface Si/SiO2.
As lâminas utilizadas como substrato nestes capacitores foram de silício
monocristalino de 1,5 polegadas de diâmetro e 350 µm aproximadamente de
espessura. Utilizamos dois tipos de substratos: tipo p e tipo n, sendo que a
resistividade da lâmina tipo p está dentro da faixa de 10 a 20 ohm-cm, enquanto
que a da lâmina tipo n está na faixa de 30 a 60 ohm-cm.
Fabricamos varias lâminas com capacitores MOS, mas fundamentalmente
utilizamos três lâminas para a caracterização de nossa película. Estas lâminas
foram designadas neste trabalho com os nomes de: p1, p2 e n1.
4.16
(a)
(b)
Fig. 4.7 - (a) Cela básica utilizada para a fabricação dos capacitores MOS
nas lâminas de silício e (b) estrutura geométrica interna para
cada tipo de capacitor.
4.17
Na figura 4.7 observamos a cela básica, que inclui os diferentes
capacitores MOS, repetida ao longo de toda a lâmina. Esta cela é constituída por
um capacitor grande (A=0,01 cm2) sem anel de guarda metálico, dois capacitores
médios (A=0,0025 cm2) com e sem anel de guarda metálico, e dois capacitores
pequenos (A=0,0009 cm2) com e sem anel de guarda metálico.
O anel de guarda, metálico, diminui o efeito do aumento da área
produzido pela inversão da superfície do semicondutor mesmo sem polarização
devido à carga efetiva presente no óxido. Um processo de difusão tipo p+ (anel
de guarda difundido) se realizou também aorededor de cada capacitor das
lâminas tipo p com a finalidade de evitar o mesmo efeito mencionado
anteriormente. Cada lâmina contém aproximadamente 160 celas básicas efetivas
para realizar as medidas elétricas. Mostramos também, nesta figura um diagrama
esquemático da estrutura geométrica interna dos capacitores MOS com e sem
anel de guarda construídos sobre as lâminas de tipo p e n.
A tabela 4.3 mostra as características de cada tipo de substrato e a
contribuição esperada do volume do substrato à resistência série dos dispositivos.
Esta contribuição da lâmina ao valor de resistência série do dispositivo foi
calculada tanto para o valor máximo como para o valor mínimo da faixa de
resistividade média de cada substrato.
Tabela 4.3 - Características das lâminas de silício monocristalino utilizadas
como substratos dos capacitores MOS.
tipo substrato
tipo p
tipo n
Resistividade(Ω
Ω -cm)
10
20
30
60
concentração
de dopantes
1.25x1015
6.25x1014
1.60x1014
8.01x1013
grande
0.01 cm2
35
70
105
210
Resistência Série
(ohm)
médio
pequeno
0.0025 cm2
0.0009 cm2
140
388.9
280
777.8
420
1166.7
840
2333.3
A tabela 4.4 mostra o tipo de substrato utilizado para cada dispositivo, a
espessura do SiO2 depositado por PECVD a baixa temperatura e a capacitância
4.18
de acumulação estimada utilizando o valor da permissividade dielétrica do SiO2
estequiométrico crescido termicamente (3,9).
Tabela 4.4 - Tipo de substrato utilizado para cada dispositivo MOS,
espessura do SiO2 depositado por PECVD e capacitância
teórica esperada.
Nome da
tipo de
espessura
Capacitância
lâmina
substrato
do SiO2 (Å)
Teórica (pF)
grande
médio
pequeno
p1
p
2300
150,13
37,53
13,51
p2
p
220
1521,96
380,49
136,98
n1
n
310
1113,89
278,47
100,25
A tabela 4.5 apresenta as condições de deposição utilizadas para a
fabricação do óxido de porta das lâminas p1, p2 e n1.
Tabela 4.5 - Condições de deposição das películas de SiO2 utilizadas como
isolante de porta nos capacitores MOS.
NOME DA
LÂMINA
TEMPERATURA
(oC)
PRESSÃO DE
DEPOSIÇÃO
(mtorr)
FLUXO DE
SiH4
(sccm)
DENSIDADE
POTÊNCIA
(mW/cm2)
RELAÇÃO
N2O/SiH4
TEMPO
(min)
P1
320
12
3
250
9
60
P2
280
26
3,2
250
20
5
N1
320
19
3,2
250
16
7
Cabe destacar que as condições de deposição para a fabricação do SiO2 de
porta da primeira lâmina (p1) não foram as melhores encontradas; isto ocorreu
porque no momento que fabricamos esta lâmina, nós estávamos ainda
pesquisando as características físicas dos filmes. Já as lâminas p2 e n1
apresentam um óxido de porta com melhores características estruturais e
composicionais.
Fabricados estes capacitores, iniciamos as medidas de I-V, C-V em alta
frequência e C-V em baixa frequência. Estas curvas nos permitiram
posteriormente determinar os diferentes parâmetros elétricos de nosso material.
4.19
Aplicando tensões contínuas negativas ao dispositivo (para garantir que o
capacitor esteja em acumulação) e medindo a corrente que passa pelo capacitor,
podemos gerar uma curva da corrente versus a tensão aplicada na porta do
capacitor (I-V). Baseados nesta curva e utilizando o método explicado na seção
3.3.1 logramos determinar a resistividade de nosso material. O equipamento que
utilizamos para este efeito foi o HP4145B existente em nosso laboratório. Este
equipamento tem a capacidade de aplicar tensões até um máximo de ±100 volts e
medir corrente da ordem dos picoampères. A lâmina que utilizamos para o
cálculo da resistividade foi a p1 por motivos que posteriormente explicaremos.
Para a medida da curva C-V em alta frequência, nós utilizamos o
equipamento HP4280 existente no Laboratório de Sistemas Integráveis. Este
equipamento calcula a capacitância gerando uma tensão alterna de 30 milivolts
de amplitude à frequência de 1 MHz sobreposta a uma rampa de tensão contínua
programável e mede a capacitância paralela e a condutância paralela do
dispositivo. Basicamente, o sistema mede a impedância do dispositivo (módulo e
ângulo de fase) e calcula a partir deste dado a capacitância e a condutância
paralela. A obtenção desta curva C-V em alta frequência permite a determinação
de vários parâmetros elétricos, tais como: Resistência série, carga efetiva dentro
do SiO2, permissividade dielétrica. Os cálculos para a obtenção destes parâmetros
a partir da curva de C-V em alta frequência foram já descritos na seção 3.3.1.
Para a medida da curva C-V em baixa frequência, nós utilizamos o
equipamento HP4240 existente no Laboratório de Sistemas Integráveis. Este
sistema possui uma fonte de tensão programável de ±100 V (com resolução de
100 mv) e mede correntes de até 10-15 A. A precisão do equipamento sobre toda
a faixa de tensões é de 0,5%. O princípio básico deste equipamento para a
medida da curva C-V em baixa frequência baseia-se na equação 3.1 mostrada no
capítulo 3. Isto é possível porque a ativação da rampa da fonte de tensão e a
medida da capacitância estão completamente sincronizados.
Fazendo uso desta curva junto com a de C-V em alta frequência logra-se
estimar os estados na interface Si/SiO2.
4.20
Tanto para a medida da curva de C-V em alta frequência como na de baixa
frequência utilizamos os capacitores da lâmina p2 por motivos que explicaremos
posteriormente.
Finalmente, para a medição da tensão de ruptura utilizamos o traçador de
curvas Tektronix 577 existente em nosso laboratório. Os dispositivos que
utilizamos para esta medida foram os da lâmina p1.
CAPÍTULO 5
RESULTADOS EXPERIMENTAIS E DISCUSSÕES
5.1.- INTRODUÇÃO
Iniciamos este capítulo com a análise e discussão dos
resultados obtidos das caracterizações físicas realizadas sobre nossas películas de
SiO2. Estas caracterizações abarcaram, como mencionado no capítulo 4, medidas
do índice de refração, taxa de deposição, estrutura e composição dos filmes
depositados. Estas medidas nos permitiram posteriormente correlacionar os
efeitos de cada um dos parâmetros de deposição sobre as características físicas do
material e determinar o valor ótimo de cada um destes parâmetros para a
obtenção de SiO2 com boas qualidades estruturais e ópticas. Desta maneira,
mostramos que a técnica DPECVD pode ser exitosamente utilizada para produzir
SiO2 altamente estequiométrico sem a incorporação apreciável de Si-OH e Si-H
(nos limites de detecção da técnica de FTIR), se os parâmetros de deposição são
controlados adequadamente.
A seguir apresentamos os resultados obtidos das caracterizações elétricas
realizadas sobre os capacitores MOS cuja fabricação foi detalhada no capítulo 4
(utilizando nossa película como camada isolante de porta). Resultados destas
medidas nos permitiram concluir que nossos filmes apresentam valores ótimos de
constante dielétrica, resistividade e tensão de ruptura, muito próximos dos
valores do SiO2 crescido termicamente. Porém, pudemos também notar uma
grande quantidade de carga efetiva dentro do óxido e uma alta densidade de
armadilhas na interface Si/SiO2 que produzem uma tensão de banda plana muito
elevada e consequentemente o efeito de inversão lateral nos capacitores MOS
fabricados sobre lâminas de silício tipo p.
5.2
5.2.- RESULTADOS ESTRUTURAIS E COMPOSICIONAIS
Como foi mencionado no capítulo anterior, séries de amostras foram
crescidas variando essencialmente cinco parâmetros de deposição: a pressão do
processo, o fluxo de silano, a temperatura do porta-substrato, a relação de fluxos
N2O/SiH4 e a potência de rf.
Tabela 5.1 - Valores do índice de refração e a taxa de deposição para as
amostras crescidas com diferentes parâmetros de deposição.
NOME
PARÂMETRO
VARIÁVEL
ÍNDICE DE
REFRAÇÃO
(n)±
± 0,005
TAXA DE
DEPOSIÇÃO
(Å / min.) ±12%
OX-17
OX-15
OX-16
OX-18
Ts = 230 oC
Ts = 280 oC
Ts = 320 oC
Ts = 370 oC
1,483
1,480
1,471
1,429
47
37
34
30
OX-39
OX-40
OX-41
OX-42
OX-43
Pd = 16 mtorr
Pd = 50 mtorr
Pd = 75 mtorr
Pd = 100 mtorr
Pd = 150 mtorr
1,454
1,464
1,480
1,474
32
23
6
6
1
sccm
sccm
sccm
sccm
sccm
sccm
1,482
1,504
1,540
1,560
1,580
1,622
45
80
143
207
233
437
mW/cm2
mW/cm2
mW/cm2
mW/cm2
1,530
1,490
1,475
1,472
31
33
34
37
1,472
1,450
1,454
1,431
1,431
1,468
37
42
32
38
38
19
OX-24
OX-22
OX-21
OX-20
OX-19
OX-23
F(SiH4) = 3
F(SiH4) = 5
F(SiH4) = 10
F(SiH4) = 15
F(SiH4) = 20
F(SiH4) = 40
OX-26
OX-25
OX-13
OX-27
RF = 50
RF = 100
RF = 150
RF = 250
OX-27
OX-28
OX-39
OX-48
OX-50
OX-51
(N2O/SiH4)
(N2O/SiH4)
(N2O/SiH4)
(N2O/SiH4)
(N2O/SiH4)
(N2O/SiH4)
= 4
= 9
= 13
= 16
= 20
= 60
Onde Ts = temperatura do substrato, Pd = pressão do processo, F(SiH4)=
fluxo de silano, RF = densidade de potência de rf e (N2O/SiH4)= F(N2O)/F(SiH4).
5.3
Estas películas foram caracterizadas fisicamente valendo-nos das técnicas
de: perfilometria para a obtenção da espessura, elipsometria para a
determinação do índice de refração e da espessura e espectroscopia de
infravermelho para a análise da estrutura e composição. Na tabela 5.1
mostramos os resultados do índice de refração e da taxa de deposição obtidos
pelas técnicas de perfilometria e elipsometria para cada uma das amostras
depositadas. Nesta tabela indicamos o nome designado a cada amostra, o valor
do parâmetro de deposição que foi variado e os respectivos valores obtidos para o
índice de refração e a taxa de deposição.
5.2.1.- Influência do fluxo de Silano
Para a análise da influência do fluxo de silano nas características físicas de
nossas películas utilizamos amostras depositadas à pressão mínima permitida
pelo sistema, com temperaturas de porta-substratos de 280 oC, relação de fluxos
N2O/SiH4 de 4, densidade de potência de rf de 250 mW/cm2 e fluxo de silano
variado entre 3 e 40 sccm.
Uma análise da influência do fluxo de silano para valores mais baixos não
foi possível devido às limitações próprias do sistema utilizado (os controladores
de fluxo de nosso reator de PECVD não possibilitam sua utilização para valores
menores de 3 sccm). Além disto, análises da influência do fluxo de silano para
valores maiores de 40 sccm já não foram realizados devido a que as propriedades
composicionais das amostras crescidas com estes valores de fluxo, afastavam-se
cada vez mais das características desejadas, perdendo-se portanto o interesse de
realizar a análise das propriedades destas amostras.
Na figura 5.1 observamos a influência bem marcada, do fluxo de silano no
índice de refração. Para fluxos altos de silano obtemos um índice de refração de
1,63, tendendo ao valor correspondente ao nitreto de silício, enquanto que, para
fluxos menores aproxima-se bem do valor do índice de refração do SiO2
estequiométrico crescido termicamente (n =1,46).
5.4
INDICE DE REFRAÇÃO (n)
1.64
1.62
1.60
1.58
1.56
1.54
1.52
Temperatura : 280 oC
1.50
Potência: 150 mW/cm2
Relação de N2O/SiH4: 4
1.48
(a) SiO2 térmico
1.46
0
10
20
30
40
FLUXO DE SILANO (sccm)
Fig. 5.1 - Variação do índice de refração com o fluxo de silano.
O fluxo de silano influi também grandemente na taxa deposição segundo o
que podemos observar na figura 5.2. Para um fluxo de 3 sccm de silano, a taxa
deposição é de 45 Å/min, enquanto que para um fluxo de 40 sccm, obtemos um
TAXA DE DEPOSIÇÃO (Å/min)
incremento da taxa de deposição até o valor de 437 Å/min.
500
400
300
200
Temperatura : 280 oC
100
Potência : 150 mW/cm2
Relação de N2O/SiH4 : 4
0
0
10
20
30
40
FLUXO DE SILANO (sccm)
Fig. 5.2 - Variação da taxa deposição com o fluxo de silano.
5.5
Os resultados obtidos da variação do fluxo de silano sobre a taxa de
deposição não são surpreendentes, já que é o silano o principal responsável pelo
crescimento do filme. Relembrando a reação fundamental na formação de SiO2 a
partir da mistura de N2O e SiH4 mostrado na equação 2.1:
SiH4 + 2N2O → SiO2 +2H2 + 2N2
Podemos concluir que um aumento do fluxo de silano gerará um aumento das
reações químicas dentro do sistema, e portanto, originará um incremento na taxa
de deposição*.
Uma análise dos espectros de infravermelho mostrados na figura 5.3, nos
permite determinar um importante efeito da variação do fluxo de silano sobre as
propriedades estruturais dos filmes. A amostra crescida com o fluxo menor só
apresenta as bandas de vibração típicas das ligações Si-O (espectro de absorção
no infravermelho muito parecido ao do SiO2 crescido termicamente), porém, as
amostras crescidas com fluxos maiores apresentam também as bandas
correspondentes às ligações Si-NH, Si-OH e Si-Hn. Para altos fluxos de silano o
pico correspondente ao modo vibracional de stretching (~1060 cm-1) começa a se
alargar e o ombro posicionado na região correspondente entre 1100 e 1200 cm-1
se faz menos definido. É muito interessante notar também que a banda
vibracional do Si-NH decresce para valores muito altos do fluxo de silano, quase
no mesmo momento que a vibração do Si-H em modo stretching começa a ser
aparente. Para estes valores altos de fluxo de silano, os espectros de absorção na
região de infravermelho são muito parecidos aos medidos em uma película de
oxinitreto rico em silício.
Estes resultados obtidos a partir dos espectros de infravermelho, nos
ajudam a compreender melhor o comportamento que apresenta o índice de
* O aumento quase linear da taxa de deposição ante o incremento do fluxo de silano
mostra que praticamente todas as moléculas de silana que entram na região do plasma
estão sendo aproveitados no processo de deposição.
5.6
refração ante a variação do fluxo de silano. Para fluxos baixos, os filmes
depositados apresentam uma estrutura muito semelhante à do SiO2, e seu índice
de refração, portanto, aproxima-se ao valor do SiO2 estequiométrico (1,46).
Porém, para fluxos altos, incrementam-se as reações do silano com outros
radicais, formando ligações não desejáveis (Si-H, Si-N, Si-NH) que ao serem
incorporadas na película, modificam as características estruturais e ópticas do
material. Então, um incremento do fluxo de silano, aumenta a incorporação do
hidrogênio, silício e nitrogênio na estrutura física da película, produzindo assim
um aumento do índice de refração.
3
ABSORBÂNCIA (u.a.)
Si-O (Stretching)
Si-O (bending)
Si-O(Rocking)
x7
2
Si-NH
Si-H
3 sccm
Si-OH
5 sccm
10 sccm
1
15 sccm
20 sccm
40 sccm
Si-H
0
4000
3000
1000
NÚMERO DE ONDA (cm-1)
Fig. 5.3 - Espectros de absorção no infravermelho para amostras
depositadas à temperatura de 280 oC, potência de rf de 250
mW/cm2, relação de fluxos N2O/SiH4 de 4, e fluxo de silano
variando entre 3 e 40 sccm. Notar que a região compreendida
entre 2000 cm-1 a 4000 cm-1 foi amplificada em sete vezes com a
finalidade de analisar melhor a incorporação do nitrogênio,
hidrogênio e oxigênio na estrutura do filme.
5.7
5.2.2.- Influência da temperatura do porta-substrato
Para a análise da influência da temperatura do porta-substrato sobre as
características do material foram depositadas amostras a temperaturas variando
entre 230 e 370 oC, fluxo de silano de 3 sccm, potência de rf de 150 mW/cm2,
pressão do processo de 8 mtorr (mínima permitida pelo sistema para estas
condições de deposição) , e relação de fluxo N2O/SiH4 de 4.
O índice de refração diminui com o aumento da temperatura do substrato,
tal como pode ser observado na figura 5.4. Para uma temperatura de 230 oC
obtemos um índice de refração de 1,483, enquanto que para uma temperatura de
ÍNDICE DE REFRAÇÃO (n)
370 oC, o índice de refração diminui a 1,429.
1.49
1.48
1.47
1.46
1.45
1.44
Pressão : 8 mtorr.
Fluxo de SiH4 : 3 sccm.
Potência : 150 mW/cm2.
Relação de N2O/SiH4 : 4.
1.43
1.42
240
280
320
360
o
TEMPERATURA DE PROCESSO ( C)
Fig. 5.4 - Índice de refração dos filmes em função da temperatura do portasubstrato.
No caso da taxa de deposição (mostrado na figura 5.5), Observamos
também uma diminuição deste parâmetro ante o incremento da temperatura do
porta-substrato. Para a temperatura mínima analisada a taxa de deposição foi de
5.8
47 Å/min, enquanto que para a temperatura mais alta, a taxa de deposição
TAXA DE DEPOSIÇÃO (Å/min)
decresceu a 30 Å/min.
50
Pressão : 8 mtorr.
Fluxo de SiH4 : 3 sccm.
45
Potência : 150 mW/cm2.
Relação de N2O/SiH4 : 4.
40
35
30
25
210
240
270
300
330
360
390
TEMPERATURA DE PROCESSO (oC)
Fig. 5.5 - Taxa de deposição das películas em função da temperatura do
porta-substrato.
A diminuição do índice de refração ante o aumento da temperatura poderia
ser atribuida tanto a uma melhor estequiometria da película como a uma
diminuição da densidade dos filmes depositados∗ . Por outro lado, como a taxa
de deposição diminui, deveríamos esperar uma maior densificação da película e
portanto um aumento do índice de refração. Estes resultados, então, nos
permitem predizer que a diminuição do índice de refração não é devido à
densificação da película, mas sim às mudanças estruturais produzidas dentro
material.
A figura 5.6 apresenta os espectros de absorção no infravermelho em
função da variação da temperatura do porta-substrato.
∗ O fundamento desta afirmação encontra-se na definição do índice de refração
mencionado na seção 3.2.2 do capítulo 3.
5.9
ABSORBÂNCIA (u.a.)
5
x 40
Si-NH
4
Si-OH
370 oC
3
320 oC
2
280 oC
1
230 oC
4000
3500
3000
2500 2000
1000
-1
NÚMERO DE ONDA (cm )
Fig. 5.6 - Espectros de absorção no infravermelho em função da
temperatura de deposição para as amostras crescidas à potência
de rf de 150 mW/cm2, pressão de deposição de 8 mtorr, fluxo de
silano de 3 sccm e relação de fluxos N2O/SiH4 de 4. Notar que a
região compreendida entre 2500 cm-1 a 4000 cm-1 foi
amplificada em 40 vezes com a finalidade de analisar melhor a
incorporação do nitrogênio, hidrogênio e oxigênio na estrutura
do filme.
Podemos observar que o modo vibracional de stretching correspondente à
ligação do Si-O define-se melhor para altas temperaturas. Observamos também,
que a incorporação do nitrogênio na forma de Si-NH (banda localizada em 3400
cm-1) incrementa a medida que aumentamos a temperatura de processamento. A
temperaturas baixas apresenta-se uma incorporação apreciável das ligações SiOH na estrutura do filme, que diminui com o incremento da temperatura de
processaento. A incorporação dos radicais de Si-OH na estrutura do filme é
observada no espectro de infravermelho como uma grande banda estendida entre
3350 e 3650 cm-1.
5.10
5.2.3.- Influência da densidade de potência de rf
Para o estudo da influência da densidade potência de rf sobre as
propriedades das películas utilizamos amostras crescidas à pressão de deposição
de 8 mtorr, temperatura do substrato de 320 oC, fluxo de silano de 3 sccm,
relação de fluxos N2O/SiH4 de 4, e densidade de potência de rf variando entre 50
ÍNDICE DE REFRAÇÃO (n)
e 250 mW/cm2.
1.53
Pressão : 8 mtorr.
Temperatura : 320 oC.
Fluxo de SiH4 : 3 sccm.
1.52
1.51
Relação de N2O/SiH4 : 4.
1.50
1.49
1.48
1.47
50
100
150
200
250
DENSIDADE DE POTÊNCIA (mW/cm2)
Fig. 5.7 - Influência da densidade de potência de rf sobre o índice de refração.
A figura 5.7 mostra o índice de refração das películas em função da
densidade potência de rf utilizada durante o processo de deposição. Observa-se
que o índice de refração diminui ao incrementar a densidade de potência de rf.
Assim, para a densidade de potência de 50 mW/cm2 o valor do índice de refração
é de 1,530, enquanto que para a densidade de potência máxima utilizada (250
mW/cm2) o valor do índice de refração cai a 1,472.
O aumento da densidade de potência de rf aumenta muito levemente a taxa
de deposição. Para 50 mW/cm2 a taxa de deposição é de 31 Å/min., entanto que
5.11
para 250 mW/cm2 de densidade de potência a taxa de deposição incrementa a 37
TAXA DE DEPOSIÇÃO (Å/min)
Å/min, como podemos observar na figura 5.8.
50
40
30
20
10
Pressão : 8 mtorr.
Temperatura : 320 oC.
Fluxo de SiH4 : 3 sccm.
Relação de N2O/SiH4 : 4.
0
50
100
150
200
250
DENSIDADE DE POTÊNCIA (mW/cm2)
Fig. 5.8 - Influência da densidade de potência de rf sobre a taxa de deposição.
Como o índice de refração diminui ao passo que a taxa de deposição
aumenta levemente, nós podemos atribuir isto a uma diminuição na densidade do
filme. A análise de IR ajudará a esclarecer esta questão.
A análise dos espectros de absorção no infravermelho para as varias
potências de rf permitiu determinar a influência deste parâmetro sobre a estrutura
e composição do material. A figura 5.9 mostra os espectros no infravermelho em
função da variação da densidade de potência de rf.
5.12
ABSORBÂNCIA (u.a.)
5
Si-O (stretching)
4
Si-O (bending)
Si-O (Rocking)
x10
Si-NH
3
250 mW/cm2
150 mW/cm2
2
100 mW/cm2
1
50 mW/cm2
0
4000
3000
2000
1000
-1
NÚMERO DE ONDA (cm )
Fig. 5.9 - Espectros de absorção no infravermelho das amostras depositadas
à pressão de processo de 8 mtorr, temperatura dos substratos de
320 oC, fluxo de silano de 3 sccm, relação de fluxos N2O/SiH4 de
4 em função da potência de rf variando entre 50 e 250 mW/cm2.
Notar que a região compreendida entre 2000 cm-1 a 4000 cm-1
foi amplificada em 10 vezes com a finalidade de analisar melhor
a incorporação do Nitrogênio, Hidrogênio e Oxigênio na
estrutura do filme.
Podemos observar que a banda centrada em 1060 cm-1, correspondente ao
modo vibracional de stretching do Si-O, sofre um alargamento ao diminuir a
densidade de potência de rf devido ao efeito dos modos vibracionais de
stretching do Si-N em 830 cm-1, bending do Si-H em 870 cm-1 e bending do SiNH em 1200 cm-1. Também podemos observar que a incorporação de nitrogênio
na forma de Si-NH (banda centrada em 3400 cm-1) incrementa monotonicamente
quando a densidade de potência de rf decresce. O aumento da energia
subministrada pelo gerador de potência de rf, incrementa a ionização e geração
de radicais excitados que participam no processo de deposição do material. O
SiH4, utilizado no processo, facilmente é quebrado a potências baixas, enquanto
5.13
que N2O, para ser ionizado, precisa de potências maiores [55]. A potências baixas
os radicais ativos de silana, devido à pouca quantidade de radicais de oxigênio,
recombinam-se com radicais de silana e nitrogênio, formando ligações não
desejáveis que modificam as características estruturais e ópticas do material,
obtendo, por conseguinte, índices de refração altos. A medida que aumentamos a
potência, incrementamos a ionização das moléculas de N2O com relação às
moléculas de SiH4, originando neste sentido um aumento na taxa de deposição.
Potências maiores aumentam assim a geração de radicais ativos de oxigênio que
ao se recombinar com o silício melhoram a estrutura, composição e
estequiometria do filme, levando à obtenção de índices de refração próximos de
1,46. Esta influência da densidade de potência de rf nas propriedades estruturais
dos filmes satura para valores altos da densidade de potência de rf, já que o
espectro do filme depositado a 250 mW/cm2 é idêntico ao espectro depositado a
150 mW/cm2. Chegamos à mesma conclusão observando os valores dos índices
de refração das amostras depositadas variando-se a densidade de potência de rf.
Este efeito de saturação pode ser devido a que para potências de rf maiores que
150 mW/cm2 a geração de radicais de oxigênio já é suficiente para consumir
todas as moléculas de silana, de tal maneira que não existem mais radicais de
silana para se recombinar com outros radicais.
5.2.4.- Influência da relação de fluxos N2O/SiH4
Para a análise da influência da relação de fluxos de N2O/SiH4 sobre as
características do material, foram depositadas amostras à temperatura de portasubstrato de 320 oC, fluxo de silano de 3 sccm, densidade de potência de rf de
250 mW/cm2, mínima pressão de deposição permitida pelo sistema para essas
condições e relação de fluxos N2O/SiH4 variando entre 4 e 60.
Como já mencionamos anteriormente o silano quebra mais facilmente que
o N2O [55], de modo que para obter filmes aproximadamente estequiométricos,
só valores altos da relação de fluxos entre o N2O e o SiH4 devem de ser utilizados
5.14
já que estávamos interessados em obter filmes com a melhor estequiometria
possível.
A figura 5.10 mostra a influência da relação de fluxos N2O/SiH4 sobre o
índice de refração das amostras depositadas. Como podemos observar, para a
relação de fluxos N2O/SiH4 de 4, o valor do índice de refração é de 1,472.
Aumentos sucessivos deste parâmetro de estudo até 20, originam uma queda no
valor do índice de refração a 1,431. Porém, incrementando mais o parâmetro de
ÍNDICE DE REFRAÇÃO (n)
estudo a 60, o valor do índice de refração aumenta até 1,468.
1.48
Temperatura : 320 oC.
Fluxo de SiH4 : 3 sccm.
1.47
Potência : 250 mW/cm2.
1.46
1.45
1.44
1.43
0
10
20
30
40
50
60
RELAÇÃO DE FLUXOS N2O/SiH4
Fig. 5.10 - Índice de refração em função da relação de fluxos N2O/SiH4.
A influência da relação de fluxos N2O/SiH4 sobre a taxa de deposição
pode ser observado na figura 5.11.
Para relações de fluxos N2O/SiH4 baixos, a taxa de deposição é de 37
Å/min. Este valor permanece quase constante aumentando a relação de fluxos até
20. Porém, um aumento drástico da relação de fluxos N2O/SiH4 para 60 origina
uma diminuição da taxa de deposição para 19 Å/min.
5.15
Analisando a faixa da relação de fluxos N2O/SiH4 compreendida entre 4 e
20 observamos claramente uma diminuição do índice de refração a medida que
aumentamos a relação. Isto pode ser devido a uma diminuição na densidade do
filme ou a uma melhora estequiométrica. Porém, analisando a influência da
relação sobre a taxa de deposição, a qual permanece quase constante dentro da
faixa de estudo, concluímos que o decréscimo do valor do índice de refração se
deve a um aumento na estequiometria mais que a mudanças morfológicas. O caso
particular apresentado na relação de fluxos N2O/SiH4 de 60 pode ser devido a
que para esse valor, o aumento da pressão de deposição começa a ser
significativo de tal maneira de que a potência efetiva por molécula se reduz
originando uma diminuição das quebras das moléculas de óxido nitroso. Uma
TAXA DE DEPOSIÇÃO (Å/min)
análise dos espectros de infravermelho permitirá reforçar nossa conclusão.
50
40
30
20
10
0
Temperatura : 320 oC.
Fluxo de SiH4 : 3 sccm.
Potência : 250 mW/cm2
0
10
20
30
40
50
60
RELAÇÃO DE FLUXOS N2O/SiH4
Fig. 5.11 - Taxa de deposição em função da relação de fluxos N2O/SiH4.
A figura 5.12 mostra os espectros de absorção na região de infravermelho
em função da variação da relação de fluxos N2O/SiH4. Observamos que a medida
que aumentamos a relação de fluxos até 20, produzimos um deslocamento da
5.16
banda de absorção do Si-O no modo vibracional de stretching em direção à
posição correspondente do SiO2 crescido termicamente. Além disto, podemos
observar que o pico de absorção do modo vibracional de stretching do Si-O fora
de fase (região compreendida entre 1100 e 1200 cm-1) apresenta uma melhor
definição.
ABSORBÂNCIA ( u.a. )
1.6
Razão
N2 O/SiH4
1.4
Si-O ( Stretching )
1.2
Si-O (s)
número onda
4
9
13
16
20
óxido térm.
1059,20
1062,03
1065,66
1070,21
1069,68
1081,99
1.0
óxido térmico
0.8
20
0.6
16
0.4
Si-O ( Bending )
13
9
0.2
4
0.0
1400
1200
1000
800
600
NÚMERO DE ONDA ( cm-1 )
Fig. 5.12 - Espectros de absorção no infravermelho em função da relação de
fluxos N2O/SiH4, para amostras depositadas à temperatura de
substrato de 320 oC, fluxo de silano de 3,2, densidade de
potência de rf de 250 mW/cm2 e a mínima pressão de deposição
permitida pelo sistema para essas condições de processo.
O deslocamento dos picos de stretching das relações compreendidas entre
4 e 20 (figura 5.13), aproximando-se do valor correspondente ao SiO2 crescido
termicamente, está relacionado a uma melhor estequiometria e a um ângulo de
ligação Si-O-Si mais fechado conforme o mencionado no capítulo 3. Da mesma
forma, o deslocamento do pico de stretching da relação de fluxos N2O/SiH4 de
60, afastando-se do valor correspondente ao pico do SiO2 térmico, pode
significar uma diminuição da estequiometria do filme devido à redução
significativa dos radicais de oxigênio necessários para a formação do SiO2. Esta
5.17
redução dos radicais de oxigênio se deve a que a potência efetiva por molécula é
FREQUÊNCIA STRETCHING-1)(cm
cada vez menor a medida que incrementamos a relação N2O/SiH4.
1076
SiO2 crescido termicamente
1074
1072
1070
1068
1066
1064
1062
1060
1058
0
10
20
30
40
50
60
RELAÇÃO DE FLUXOS N2O/SiH4
Fig. 5.13 - Valor máximo do modo vibracional de stretching da ligação SiO em função da relação de fluxos N2O/SiH4.
5.2.5.- Influência da pressão de deposição
Para o estudo da influência da pressão de processo nas propriedades dos
filmes foram depositadas amostras a uma temperatura de substrato de 320 oC,
fluxo de silano de 3,2 sccm, densidade de potência de rf de 250 mW/cm2, relação
de fluxos N2O/SiH4 de 13 e pressão de deposição variando entre 16 e 150 mtorr.
A pressão de 16 mtorr utilizada na primeira amostra deste conjunto foi a mínima
permitida pelo sistema para estas condições de processamento.
A figura 5.14 mostra que a influência da pressão de deposição sobre o
índice de refração dos filmes é pouco apreciável e que não apresenta um
comportamento sistemático. Para baixas pressões de deposição obtivemos um
índice de refração de 1,454. À medida que aumentamos a pressão o índice de
5.18
refração mostrou uma tendência a aumentar, chegando a um valor máximo de
1,480 para a pressão de 75 mtorr. Porém, aumentando mais ainda a pressão de
processo, observamos uma queda do índice de refração até 1,474 para a pressão
de 100 mtorr. Não foi possível medir o índice de refração da amostra OX-43
depositada a 150 mtorr porque obtivemos o filme com uma espessura muito fina
que não permitiu ao elipsômetro fazer uma medida correta (espessura menor ao
ÍNDICE DE REFRAÇÃO (n)
limite permitido pelo equipamento).
Temperatura : 320 oC.
Potência : 250 mW/cm2.
Fluxo de SiH4 : 3,2 sccm.
1.48
Relação de N2O/SiH4 : 13.
1.47
1.46
1.45
0
20
40
60
80
100
PRESSÃO DE PROCESSO (mtorr)
Fig. 5.14 - Índice de refração em função da pressão de deposição.
Assim, como pode ser observado na figura 5.15, a pressão de processo
afeta fundamentalmente a taxa de deposição. Para pressões baixas de processo a
taxa de deposição chega a 32 Å/min, entanto que para pressões altas de deposição
ésta diminui até 1 Å/min. Isto está relacionado com um aumento das reações em
fase gasosa, já explicados no capítulo 2, devido à diminuição do livre caminho
médio das moléculas participantes no processo de deposição. Este incremento
das reações em fase gasosa foi evidenciado pela formação de grande quantidade
de partículas sólidas na câmara durante o processo de deposição.
TAXA DE DEPOSIÇÃO (Å/min)
5.19
35
Temperatura : 320 oC.
Potência : 250 mW/cm2
Fluxo de SiH4 : 3,2 sccm.
30
25
Relação de N2O/SiH4 : 13.
20
15
10
5
0
0
20
40
60
80
100
120
140
160
PRESSÃO DE PROCESSO (mtorr)
Fig. 5.15 - Taxa de deposição em função da pressão de processo.
ABSORBÂNCIA (u.a.)
1.2
1.0
0.8
0.6
150 mtorr.
100 mtorr.
75 mtorr.
0.4
50 mtorr.
0.2
16 mtorr.
0.0
2000
1500
1000
500
PRESSÃO DE PROCESSO (mtorr.)
Fig. 5.16 - Espectros de absorção no infravermelho em função da pressão
de deposição para amostras depositadas a uma temperatura de
substrato de 320 oC, fluxo de silano de 3,2 sccm, densidade de
potência de rf de 250 mW/cm2, relação de fluxo N2O/SiH4 de
13.
5.20
A análise de infravermelho das amostras crescidas com diferente pressão
de deposição não permitiu identificar nenhuma variação estrutural ou
composicional dos filmes, tal como pode ser apreciado na figura 5.16.
5.2.6.- Discussão dos resultados físicos
Todos os resultados obtidos através das caracterizações estruturais e
composicionais de nossas películas de SiO2 foram analisadas cuidadosamente
permitindo-nos montar um modelo simplificado que explica as reações químicas
envolvidas no processo de deposição. Este modelo pode ser dividido em três
passos:
1.- É sabido que [55] a densidade de potência de rf decompõe mais facilmente as
moléculas de silana que as do N2O. Assim, a diminuição da densidade da
potência de rf decresce a concentração de radicais de N2O ([N2O]*) sem
afetar apreciavelmente a concentração de radicais de SiH4 ([SiH4]*). Deste
modo, ao não existir suficiente quantidade de radicais de oxigênio, os
radicais de silana reagem entre eles e com o hidrogênio e o nitrogênio,
aumentando a incorporação de Si-Si, Si-NH e Si-H na composição do filme a
medida que diminuímos a densidade de potência de rf.
2.- Os radicais, resultantes da decomposição da molécula de silana, reagem
preferencialmente com os radicais contendo oxigênio, tal como foi concluído
da análise dos resultados do fluxo de silano e da relação de fluxos N2O/SiH4.
O aumento do fluxo de silano incrementa a concentração de radicais de
silana dentro do plasma, enquanto que para baixos fluxos de silano e alta
relação de N2O/SiH4 a concentração de radicais de silana diminui o suficiente
para garantir que não existam reações entre eles ou com o nitrogênio,
resultando na formação de filmes com excelentes estequiometrias
5.21
comparáveis com as dos filmes de SiO2 crescidos termicamente. Por outro
lado, aumentando o fluxo de silano e/ou diminuindo a relação N2O/SiH4
incrementa-se a incorporação de Si-NH e Si-H, diminuindo a estequiometria
do filme.
3.- O nitrogênio, o hidrogênio e o excesso de silicio se incorporarão na estrutura
composicional do filme somente quando existirem radicais de silana
excedentes, depois de que todos os radicais de oxigênio tenham sido
consumidos pela reação. Nesta forma existirá uma densidade de potência
limite que produz uma quantidade de radicais de oxigênio suficiente para
consumir todos os radicais de silano, resultando em filmes com boa
estequiometria. Isto foi exatamente o que ocorreu para filmes depositados
com densidades de potência acima de 150 mW/cm2. Os resultados mostraram
uma saturação no índice de refração e nas intensidades das ligações químicas
detectadas por FTIR.
O modelo proposto nos permite concluir que para obter filmes de SiO2
altamente estequiométricos precisamos de alta relação de fluxos N2O/SiH4,
baixos fluxos de SiH4 e relativamente altos valores de densidade de potência de
rf.
Os resultados obtidos mostram também que uma temperatura próxima de
320 oC é recomendável para se obter filmes com alta estequiometria e que
pressões de deposição menores que 20 mtorr devem ser utilizadas para prevenir
as reações em fase gasosa.
A técnica de DPECVD pode ser assim, utilizada exitosamente para
produzir
filmes
de
SiO2
com
excelentes
propriedades
estruturais
e
composicionais.
Uma vez terminadas as análises e discussões dos resultados obtidos das
propriedades físicas de nossas películas em função da influência dos cinco
parâmetros variáveis de deposição, demos por concluída a primeira parte de
5.22
nosso trabalho, mostrando-nos satisfeitos com os resultados alcançados. A partir
daí começamos o estudo e análise das características elétricas de nossas películas
de SiO2.
5.3.- RESULTADOS ELÉTRICOS
Tal como foi mencionado no capítulo 4, fabricamos capacitores MOS de
três áreas diferentes sobre lâminas de silício do tipo p e n com a finalidade de
obter as diferentes características elétricas de nosso material, tais como:
resistividade, permissividade dielétrica, tensão de ruptura, carga efetiva dentro do
SiO2 e armadilhas na interface Si/SiO2.
A estrutura e composição dos filmes de SiO2, utilizados como isolante de
porta nos capacitores MOS, foram caracterizados previamente à fabricação dos
dispositivos. A tabela 5.2 apresenta os resultados obtidos dos valores do índice
de refração e da taxa de deposição destas películas.
Tabela 5.2 - Índice de refração e taxa de deposição do SiO2 utilizado como
isolante de porta nas estruturas MOS das lâminas p1, p2 e n1.
NOME DA
LÂMINA
ESPESSURA
(Å)
ÍNDICE DE
REFRAÇÃO
(n)±
± 0,005
TAXA DE
DEPOSIÇÃO
(Å/min)±
± 12%
%
p1
2300
1,45
38
p2
220
1,44
44
n1
310
1,43
44
Três lâminas foram as utilizadas na caracterização elétrica do material
designadas com os nomes de p1, p2 e n1. A medida da espessura do filme de
SiO2 usada na lâmina p1 foi realizada com a técnica de perfilometria e verificada
com a técnica de elipsometria. Já as espessuras do SiO2 utilizadas nas outras
lâminas (p2 e n1) só foram medidas com a técnica de elipsometria por ser tão
finas que impediam a realização de uma medida confiável no perfilômetro (O
erro da medição com esta técnica para as espessuras destas lâminas é muito alto).
5.23
Para a determinação das propriedades elétricas de nosso material era
preciso realizar medidas das curvas I-V e C-V em alta e baixa frequência nos
capacitores MOS fabricados, correlacionando-os posteriormente com os modelos
teóricos já explicados no capítulo 3.
Para a medição da curva I-V destes capacitores utilizamos o equipamento
HP4145B existente em nosso laboratório. Com esta curva e utilizando o método
explicado na seção 3.2.1 do capítulo 3, nós logramos obter o valor promédio da
resistividade de nosso material.
Era muito aconselhável fabricar capacitores com filmes de SiO2 de
espessuras finas (< 400 Å) para uma melhor determinação dos parâmetros
elétricos destes dispositivos∗; porém, o fato de nosso sistema de PECVD possuir
o porta-substrato com a face de deposição voltada para cima poderia originar a
incorporação de partículas indesejáveis sobre a superfície do substrato de silício
no momento de introduzir a lâmina ao reator, possibilitando a formação de
defeitos e buracos durante o crescimento do material ("pinholes") e produzindo
problemas tais como correntes de fuga altas ou até curtos de tensão se a espessura
do filme for muito fina (da ordem do tamanho destas partículas). Posteriormente,
fabricadas as lâminas p2 e n1 (que possuíam filmes finos de SiO2), as medidas
realizadas sobre elas, mostraram poucos capacitores com estes problemas. Foi
possível, então, fazer medidas confiáveis sobre a grande maioria dos dispositivos
MOS testados.
Os primeiros capacitores utilizados para o cálculo da resistividade foram
os da lâmina p1, a mesma que apresentava uma camada de SiO2 grossa. Na tabela
5.3 mostramos os valores típicos obtidos para a resistência e a resistividade do
filme de SiO2 utilizado nesta lâmina. Foram aplicadas tensões negativas à porta
dos capacitores (levando-os à região de acumulação) com a finalidade de evitar a
influência da região de depleção no cálculo da resistividade.
∗ Explicaremos posteriormente esta afirmação.
5.24
Tabela 5.3 - Resistividade calculada do SiO2 da lâmina p1
NOME
NOME DA
LÂMINA
RESISTÊNCIA
(x 1012 ohm)
RESISTIVIDADE
(x 1014 ohm-cm)
p1p1
p1
5,32
2,08
p1p2
p1
3,86
1,51
p1p3
p1
3,14
1,23
p1p4
p1
3,68
1,44
p1p5
p1
5,52
2,16
p1p6
p1
3,42
1,34
4,16
1,63
1,01
0.39
MÉDIA
DESVIO
PADRÃO
Tal como podemos apreciar nesta tabela, a resistividade média de nossa
película de SiO2 está em torno de 1,6 x 1014 ohm-cm, valor muito aceitável para
este tipo de material. Este resultado foi muito alentador pois significou um
indício de que era possível a obtenção da curva de C-V em baixa frequência
nestes capacitores∗.
Posteriormente, foram realizadas também medidas de I-V nos capacitores
pequenos da lâmina p2 obtendo um valor promédio de resistividade de 6 x 1014
ohm-cm ± 20%. Se considerarmos um erro na medição da espessura de ±40Å, os
resultados para esta lâmina, mesmo com a incerteza em relação à medida da
espessura do SiO2, mostram um valor da resistividade ainda maior que o obtido
para a lâmina p1.
A figura 5.17 mostra uma curva típica da medida de I-V de um capacitor
pequeno da lâmina p1 e os pontos específicos que foram utilizados para o cálculo
da resistividade. A reta de 45 graus incluída neste mesmo gráfico foi colocada
para mostrar a região onde a amostra apresenta um comportamento resistivo. Foi
justamente esta região a que utilizamos para calcular o valor da resistividade.
Podemos notar também que para tensões mais elevadas a curva apresenta um
∗ Os problemas fundamentais que impedem a obtenção da curva C-V em baixa
frequência são as correntes de fugas altas e as baixas resistividades que podem
apresentar os capacitores MOS.
5.25
comportamento quadrático compatível com a injeção de portadores através da
camada de óxido.
1015
-13
CORRENTE (x 10
A)
1000
1014
v=40,5 i=72
1013
100
v=28,8 i=50
Região de
injeção
1012
Região Ohmica
1011
100
10
10
TENSÃO (volts)
Fig. 5.17 - Curvas de corrente e resistividade de um capacitor pequeno da
lâmina p1 em função da tensão de porta. Os valores da tensão e
da corrente foram invertidos de sinal com a finalidade de poder
mostrar o gráfico logaritmicamente.
Neste mesmo gráfico incluímos a curva de resistividade calculada pela
fórmula:
ρ = V / I × A / dox
onde:
ρ = resistividade
V= tensão aplicada à porta do capacitor
I = Corrente que passa pelo capacitor
A = Área do capacitor
dox = espessura da camada de SiO2
(5.1)
5.26
Estes resultados demonstram que nossas películas de SiO2 depositado por
PECVD apresentam um valor ótimo de resistividade, muito próximo do valor do
SiO2 crescido termicamente.
Tanto para a medida da curva C-V em alta frequência como na de baixa
frequência utilizamos os capacitores das três lâminas. A utilização das lâminas p2
e n1 (ambas, formadas por uma película fina de SiO2) na medição das
características elétricas do dispositivo tem grande vantagem porque permite
calcular as características elétricas do material com maior precisão, pois neste
caso, a diferença entre o valor da capacitância em acumulação e o valor da
capacitância em inversão é maior, aumentando com isto a resolução da faixa do
estudo* para a obtenção dos diferentes parâmetros elétricos. Outro motivo para a
utilização destas lâminas é que o efeito da carga efetiva na tensão de banda plana
dos dispositivos é menor para camadas finas de SiO2.
A figura 5.18 apresenta as curvas típicas de C-V em alta frequência de um
capacitor grande da lâmina p1 e de um capacitor médio da lâmina p2.
Tal como podemos apreciar nestas figuras, existe um deslocamento da
tensão de banda plana (vfb) em ambas as lâminas para valores negativos de tensão
de porta, sendo mais notório este deslocamento na lâmina p1. Este resultado é
devido fundamentalmente à existência de uma grande quantidade de carga efetiva
dentro do SiO2. A influência (apreciavelmente maior) da grande quantidade de
carga efetiva na tensão de banda plana da lâmina p1 deve-se fundamentalmente a
que ésta lâmina apresenta a camada de SiO2 mais grossa, sendo que a espessura
desta camada influi significativamente no vfb tal como podemos apreciar na
seguinte fórmula:
v fb = φ ms −
Qeff × d ox
ε ox × A
Onde:
vfb = Tensão de banda plana
*Região compreendida entre a depleção e inversão fraca do capacitor MOS.
5.27
A = Área do capacitor
dox = Espessura da camada de SiO2
Assim, enquanto maior a espessura da camada de SiO2, maior será a
influência da carga efetiva na tensão de banda plana do dispositivo.
CAPACITÂNCIA ( pF )
160
Tensão de
banda plana (vfb)
140
120
100
80
-100
-80
-60
-40
-20
0
20
CAPÂCITANCIA ( pF )
TENSÃO DE PORTA ( volts )
(a)
400
Tensão de
banda plana (vfb)
300
200
100
-8
-6
-4
-2
0
TENSÃO DE PORTA (volts.)
2
4
(b)
Fig. 5.18 - Curva típica de C-V em alta frequência de (a) um capacitor
grande da lâmina p1 e (b) um capacitor médio da lâmina p2.
Notamos na região de inversão forte o aumento da capacitância
total devido à inversão lateral causado pela grande quantidade
de carga efetiva dentro do SiO2.
Observamos também neste mesmo diagrama um incremento da
capacitância na região de inversão forte. Este efeito singular observado em
nossos capacitores MOS conhece-se com o nome de inversão lateral [43]. A
curva C-V em alta frequência característica de um capacitor MOS com substrato
5.28
tipo p é afetado quando a superfície do silício ao redor da porta está invertida
pela carga dentro do SiO2. A carga do SiO2 não afeta a capacitância em
acumulação e depleção. Porém, quando a carga dentro do SiO2 inverte a
superfície ao redor da porta, em lugar de saturar no valor de Cmin, a capacitância
aumenta a um valor maior que o esperado.
A geração de elétrons (portadores minoritários) na camada de inversão não
pode seguir um sinal de frequência alta (1 MHz). Porém, como a camada de
inversão é um condutor, um fluxo de corrente alternada se produz através da
camada de inversão próxima à porta, passando à camada de depleção,
atravessando o volume de silício e saindo pelo contato existente na costa da
lâmina. Este fluxo de corrente alternada é mostrado na figura 5.19.
Iac
porta
SiO2
camada de inversão
camada de depleção
semicondutor tipo p
Fluxo de corrente ac
Fig. 5.19 - Diagrama da seção transversal de um capacitor MOS mostrando
o fluxo de corrente alternada devido à inversão lateral [43].
Como resultado do espalhamento da corrente alternada ao redor da porta, a
corrente alternada flui em uma área muito maior que a área da porta. Portanto
gera-se uma capacitância adicional com este aumento de área efetiva que se soma
à capacitância de inversão já existente, produzindo o efeito chamado de inversão
lateral.
5.29
A figura 5.20 apresenta uma curva típica de C-V em alta frequência de um
capacitor médio da lâmina n1. Tal como podemos apreciar, esta curva já não
apresenta a inversão lateral presente nas lâminas p1 e p2, mostrando desta
maneira que a carga efetiva dentro do SiO2 depositado por PECVD é positiva.
Foram realizadas também medidas de curvas de C-V em alta frequência nas
lâminas p1 e p2 polarizando o anel de guarda metálico negativamente. Os
resultados mostraram que a inversão lateral produzida nestes capacitores
diminuía a medida que aumentávamos o módulo da tensão aplicada ao anel de
guarda metálico.
CAPACITÂNCIA (pF)
250
CAP. ALTA FREQUÊNCIA
- lâmina N1
- capacitor médio
200
150
100
50
0
-8
-6
-4
-2
0
TENSÃO (volts)
Fig. 5.20 - Curva típica de C-V em alta frequência de um capacitor médio
da lâmina n1.
A tabela 5.4 mostra os valores médios da densidade de carga efetiva
dentro do SiO2 calculados a partir da curva de C-V em alta frequência de vários
capacitores (grandes, médios e pequenos) das lâminas p1, p2 e n1 e da fórmula
3.3 do capítulo 3. Nesta mesma tabela incluímos os valores médios da tensão de
banda plana dos diversos capacitores das três lâminas obtidos também da curva
de C-V em alta frequência e da fórmula 3.4 do capítulo 3. Como já
5.30
mencionamos, nosso filme de SiO2 apresenta uma alta quantidade de carga
efetiva originando consequentemente o aumento da tensão de banda plana e o
efeito de inversão lateral nos capacitores MOS das lâminas de substrato de tipo p
(p1 e p2).
Tabela 5.4 - Carga efetiva dentro do SiO2 e tensão de banda plana das
lâminas p1, p2 e n1.
NOME DA
LÂMINA
TAMANHO DO
CAPACITOR
DENSIDADE
DE CARGA
EFETIVA
(x 1012 cm-1)
TENSÃO DE
BANDA
PLANA
(volts)
p1
GRANDE
6,17
-66,4
p1
MÉDIO
7,62
-81,73
p1
PEQUENO
8,08
-86,63
p2
GRANDE
1,2
-2,02
p2
MÉDIO
1,3
-2,2
p2
PEQUENO
2,68
3,37
N1
GRANDE
2,54
-3,77
N1
MÉDIO
2,54
-5,22
N1
PEQUENO
3,74
-6,11
Para o cálculo da resistência série e permissividade dielétrica do SiO2
depositado por PECVD utilizamos os capacitores médios da lâmina p2. A tabela
5.5 mostra os resultados de resistência série e permissividade dielétrica
calculados a partir das curvas experimentais de C-V em alta frequência de alguns
dos capacitores da lâmina p2 e das equações 3.6 e 3.8 do capítulo 3.
Tal como podemos apreciar nesta tabela, o valor da resistência série dos
capacitores é practicamente da mesma ordem que a contribuição do substrato
de silício no valor da resistividade total do capacitor (Rs = 90,5 ohm).
Observamos também que nosso material apresenta uma permissividade
promédio de εox = 3,92 muito próxima do valor típico do SiO2 crescido
termicamente (εox = 3,9).
5.31
Tabela 5.5 - Resultados da resistência série e permissividade dielétrica para
os capacitores médios da lâmina p2.
NOME DO
CAPACITOR
Gmedida
(x 10-3 S)
Cmedida
(pF)
RESISTÊNCIA
SÉRIE
(ohm)
CAPACITÂNCIA
CORRIGIDA (pF)
PERMISSIVIDADE
DIELÉTRICA
p2ma
0,66
368
114,15
398
3,96
p2mb
0,46
382
77,06
396
3,93
p2mc
0,45
386
73,96
399
3,97
p2md
0,46
387
75,07
401
3,99
p2me
0,51
373
88,79
390
3,88
p2mf
0,5
371
87,88
388
3,85
p2mg
0,65
361
116,69
391
3,88
MÉDIA
0,53
375,43
90,51
394,71
3,92
DESV.
0.09
9,81
18,02
5,02
0,05
STANDAR
Onde: Gmedida = Condutância medida no capacitor na região de acumulação,
Cmedida = Capacitância medida no capacitor na região de acumulação.
CAPACITÂNCIA (pF)
400
Capacitância
corrigida
300
Capacitância
medida
200
100
-8
-6
-4
-2
0
2
4
TENSÃO DE PORTA (volts)
Fig. 5.21 - Curva C-V em alta frequência típica para um capacitor médio da
lâmina p2 e sua correspondente curva C-V corrigida pelo valor
da resistência série.
A figura 5.21 apresenta o gráfico de uma curva típica de C-V em alta
frequência e sua correspondente curva de C-V corrigida a partir do valor da
5.32
resistência série e a equação 3.7 mostrada no capítulo 3. Este diagrama permite
apreciar a pouca influência da resistência série no valor da capacitância na região
de acumulação, confirmando mais ainda o alto valor de resistividade de nosso
material.
Para o cálculo dos estados de interface utilizamos os capacitores médios
da lâmina p2. Neste sentido, nós fizemos medidas das curvas de C-V em alta e
baixa frequência. Com estas curvas e utilizando a equação 3.9 do capítulo 3,
calculamos as armadilhas na interface Si/SiO2 para estes capacitores.
A figura 5.22 mostra as curvas típicas de C-V em alta e baixa frequência
para um capacitor médio da lâmina p2.
CAPACITÂNCIA (pF)
400
CAP. BAIXA
FREQUÊNCIA
300
CAP. ALTA
FREQUÊNCIA
200
100
0
-5
-4
-3
-2
-1
0
TENSÃO DE PORTA (volts)
Fig. 5.22 - Curvas típicas de C-V em alta e baixa frequência para um
capacitor médio da lâmina p2.
Realizados os cálculos da densidade de armadilhas na interface Si/SiO2
sobre vários capacitores médios da lâmina p2, pudemos comprovar que nossos
dispositivos apresentam um valor promédio de Dit ≈ 2x1012 estados/cm2 ±50%.
Este erro deve-se fundamentalmente à presença de capacitâncias parasitárias no
5.33
equipamento utilizado para a medida de C-V em baixa frequência (pontas de
prova, cabos, etc).
Para o cálculo do campo de ruptura do material, utilizamos os capacitores
pequenos da lâmina p1. Decidimos a utilização desta lâmina pelo motivo de que
ela apresenta uma camada de SiO2 muito grossa que permitiu determinar sua
espessura aceitavelmente e com um erro de medição quase desprezível. Devido
aos altos valores da tensão de ruptura, não foi possível utilizar a HP4145-B, pois
este equipamento só fornece tensões até um máximo de 100 V, é por este motivo
que nós utilizamos o traçador de curvas Tektronix 577. As tensões aplicadas na
porta para esta medida foram negativas com respeito ao substrato, de modo a
garantir que os capacitores estejam polarizados em acumulação, evitando assim a
influência da camada de depleção no cálculo do campo de ruptura.
A tabela 5.6 mostra os valores experimentais de campo de ruptura,
calculados considerando a espessura do SiO2 de 2300 Å. Cabe mencionar que o
valor de tensão mostrado nesta tabela é o valor da tensão efetiva que está caindo
sobre o SiO2, isto é, a tensão mostrada na tabela resulta da diferença entre a
tensão medida e a tensão de banda plana (não podemos desprezar a tensão de
banda plana pelo fato de ela ser muito significativa).
Tabela 5.6 - Resultados da medida do campo de ruptura para os capacitores
pequenos da lâmina p1.
NOME DO
CAPACITOR
TENSÃO DE
RUPTURA
(volts)
CAMPO DE
RUPTURA
(x 106 volts/cm)
p1p1
p1p2
p1p3
p1p4
MÉDIA
-95
-96
-69
-111
-4,1
-4,2
-3.0
-4,8
-92,8
17,4
-4,0
0,75
DESV. PADRÃO
Podemos observar que nosso material apresenta um valor médio de tensão
de ruptura em torno de -4 x106 volts/cm quase semelhantes aos valores obtidos
com um SiO2 crescido termicamente.
5.34
5.3.1.- Discussão dos resultados elétricos
Todos os resultados apresentados anteriormente nos permitiram ter um
conhecimento global das características elétricas das películas de SiO2 crescidas
por PECVD a baixas temperaturas. Pudemos apreciar assim, que nossos filmes
apresentam valores ótimos de constante dielétrica (εox=3,92), resistividade (1,6
x1014 ohm-cm), e campo de ruptura (4 x 106 volts/cm), muito próximos dos
valores típicos apresentados nos filmes de SiO2 crescidos termicamente e
totalmente aceitáveis para sua aplicação como camada dielétrica.
Porém, pudemos também notar uma grande quantidade de carga efetiva
incorporada em nossas películas de SiO2 e uma alta densidade de armadilhas na
interface Si/SiO2, originando consequentemente o valor elevado da tensão de
banda plana e o efeito de inversão lateral. Comprovamos também de que a carga
efetiva presente em nosso material é positiva, afetando exclusivamente os
capacitores fabricados sobre as lâminas de silício do tipo p.
A carga incorporada no material é um problema que pode estar se
originando no próprio processo de deposição por plasma, dentro do reator. Como
mencionamos anteriormente, o plasma quebra as moléculas reagentes que ao se
recombinar podem originar uma série de reações heterogêneas formando
moléculas em fase sólida que possivelmente se incorporem no material como
impurezas livres (não participando dentro da estrutura do filme) aumentando a
quantidade de carga efetiva dentro do material.
Por outro lado, o valor elevado da densidade de estados na interface
Si/SiO2 pode ser devido fundamentalmente a que a deposição de SiO2 por
PECVD ocorre acima da superfície do substrato, consequentemente a interface
Si/SiO2 é notavelmente controlada pelas propriedades do óxido nativo. Assim,
quando o crescimento é realizado com PECVD, o tratamento prévio à deposição
do óxido sobre a lâmina utilizada como substrato deve ser realizado tomando-se
os maiores cuidados.
CAPÍTULO 6
CONCLUSÕES
Antes de passarmos às conclusões e a algumas
sugestões, convém fazer um pequeno resumo dos resultados apresentados.
Como foi mencionado anteriormente, a principal desvantagem da técnica
de PECVD para a deposição de SiO2 é que todos os gases reagentes entram
dentro da região do plasma quebrando-se de forma não controlada. Resulta assim
dificultoso evitar as reações heterogêneas, que originam uma pobre
estequiometria do material depositado. Para o caso de deposições com misturas
de SiH4 e N2O, estas reações heterogêneas são manifestadas por uma alta
concentração de radicais de SiHn dentro do plasma, que reagem não só com os
radicais de oxigênio, mas também entre eles e com os radicais de nitrogênio. Isto
produz a incorporação indesejável de ligações Si-H e SiN-H dentro da estrutura
da película depositada.
Este problema, como vimos, foi atacado de duas formas, Lucovsky
utilizou a técnica de PECVD por plasma remoto, a qual permite a seleção dos
gases reagentes que entram na região do plasma, controlando assim a produção
de radicais. Hsieh, por outra lado, utilizou a técnica de DPECVD, mas com
misturas de gases altamente diluídos em Hélio. Como o Hélio apresenta uma
grande seção cruzada para os elétrons, a quantidade de radicais de SiH4 ([SiH4]*)
é diminuída com o conseqüente decréscimo das reações heterogêneas não
desejáveis. Nós confirmamos que um efeito similar pode ser obtido reduzindo o
fluxo de silano tanto como possível para manter uma alta relação de fluxos
N2O/SiH4 e uma baixa pressão de deposição ao passo que a potência de rf deve
ser relativamente alta. Os resultados apresentados no capítulo 5 mostraram que
sob estas condições a quantidade de radicais de N2O é maior que a quantidade de
6.2
radicais de silano, de forma que a principal reação é do tipo [O]*+[SiH4]*,
originando filmes altamente estequiométricos.
Concluímos assim que é possível selecionar as reações químicas dentro do
plasma, utilizando a técnica simples de DPECVD e sem a presença de nenhum
gás diluente, produzindo uma suficientemente alta relação de [N2O]*/[SiH4]*.
Alem disto, os resultados obtidos da análise para diferentes temperaturas e
diferentes pressões de deposição mostram que é aconselhável a utilização de
temperaturas em torno de 320
o
C para a obtenção de filmes altamente
estequiométricos, enquanto que pressões de deposição abaixo de 20 mtorr devem
ser utilizadas para prevenir as reações em fase gasosa.
Nossas amostras depositadas sob estas condições, mostraram que as
propriedades químicas e o índice de refração são muito próximos dos
apresentados pelo dióxido de silício crescido termicamente. Para melhorar as
propriedades estruturais e composicionais do material, a relação N2O/SiH4 deve
ser incrementada sem aumentar significativamente a pressão de deposição, isto
significa que devemos utilizar fluxos de silano menores. Realizaremos reformas
no sistema para permitir estas condições.
Assim, destes resultados estruturais e composicionais concluímos que a
técnica de DPECVD pode ser utilizada om êxito para se produzir dióxido de
silício com excelentes propriedades estruturais e índice de refração comparáveis
com o dióxido de silício crescido termicamente.
Por outro lado, os resultados das caracterizações elétricas mostraram que
nossas películas de SiO2 apresentam valores médios de resistividade de 1,6 x 1014
ohm-cm, permissividade dielétrica de 3,92 e campo máximo de ruptura de 4 x
106 volts/cm, próximos dos valores típicos das películas de SiO2 crescidas
termicamente e totalmente apropriadas para utilização como dielétrico em
microeletrônica.
Porém, pudemos observar também que nosso material apresenta uma
grande quantidade de carga efetiva (Neff = 5 x 1012 cm-1) e uma elevada
densidade de armadilhas na interface Si/SiO2 (Dit = 2 x 1012 estados/cm2)
6.3
originando o aumento da tensão de banda plana e o efeito de inversão lateral nos
capacitores fabricados sobre lâminas de silício de tipo p.
Nós acreditamos que a grande densidade de carga efetiva dentro do
material esteja vinculada ao processo de deposição por plasma que origina a
formação de grande quantidade de íons que podem incorporar-se ao filme no
momento do crescimento do material. Sugerimos, por este motivo (como uma
continuação a este trabalho), fazer um estudo do comportamento das
características elétricas ante a influência da polarização do porta-substrato. Uma
adequada polarização permitirá possivelmente controlar a elevada quantidade de
carga dentro do material.
Por outro lado, a grande densidade de armadilhas na interface Si/SiO2 era
até certo ponto esperada porque, com a técnica de PECVD, realiza-se uma
deposição e não um crescimento do material sobre o substrato. No caso do
crescimento, as camadas superficiais da lâmina são consumidas durante o
processo de modo que a interface é formada com camadas localizadas mais
internamente, deste modo a qualidade da interface não depende tanto da
preparação da superfície. Já no caso da deposição o material é depositado acima
do substrato que muitas vezes contém uma camada fina de óxido nativo, deste
modo a qualidade da interface vai depender significativamente das características
desta camada. Para diminuir este problema é imperativo se contar com cuidados
extremos no que se refere à limpeza da lâmina utilizada como substrato antes do
processo de deposição para eliminar assim a influência do óxido nativo nas
características da interface Si/SiO2.
REFERÊNCIAS BIBLIOGRÁFICAS
[1] E. H. Nicollian and J. R. Brews, in “MOS Physics and Technology”, WileyInterscience Publication, New York, 2(1982).
[2] M.F. Ceiler, Jr.,P. A. Kohl, and S. A. Bidstrup, J. Electrochem. Soc., 142, 6 (1995).
[3] E. P. Valcheva and K. G. Germanova, Superlattices Microstruct. 10, 319 (1991).
[4] E. P. Valcheva and K. G. Germanova, Mater. Lett. 7, 465 (1989).
[5]
J. D. Lin, Y. K. Su, S. J. Chang, M. Yokoyama, and F. Y. Juang, J. Vac. Sci.
Technol. A 12, 7 (1994).
[6] C. J. Frosh and L. Derrick, J. Electrochem. Soc., 104, 547 (1957).
[7]
M. M. Atalla, E. Tannenbaum, and E. J. Scheibner , Bell Syst. Tech. J., 38, 749
(1959).
[8] J. R. Ligenza and W. G. Spitzer, J. Phys. Chem. Solids, 14, 131 (1960).
[9] J. R. Ligenza, J. Phys. Chem., 65, 2011 (1961).
[10] G. Liandrat, conferences at the College of France, 1935.
[11] J. Bardeen and W. H. Brattain, Phys. Rev., 74, 230 (1948).
[12] W. Shockley and G. L. Pearson, Phys. Rev., 74, 232 (1948).
[13] M. M. Atalla, U.S. Patent 3,206,670 (filed in 1960, issued in 1965).
[14] D. Kahng and M. M. Atalla, IRE-IEEE Solid-State Device Research Conference,
Carnegie Institute of Technology, Pittsburgh, Pa., 1960; D. Kahng, U.S. Patent
3,102,230 (filed in 1960, issued in 1963).
[15] H. P. W. Hey, B. G. Sluijk, and D. G. Hemmes, Solid State Technol., 139-144,
Apr. 1990.
[16] A. Banerjee and T. DebRoy, J. Vac. Sci. Technol. A 10 (6), 3395-3400 (1992).
[17] E. Doering, in Insulating Films of Semiconductors, edited by M. Schulz and G.
Pensl (Springer, Berlin, 1981), p. 208.
[18] S. S. Chao, G. Lucovsky, S. Y. Lin, C. K. Wong, J. E. Tyler, Y. Takagi, P. G. Pai,
and M.J. Mantini, J. Vac. Sci. Technol. A 4, 1574 (1986).
[19] A. C. Adams, Solid State Technol., 26, 135 (1983).
[20] J. Batey, E. Tierney, J. Stasiak, and T. N. Nguyen, Appl. Surf. Sci., 39, 33 (1989).
[21] J. R. Hollahan, J. Electrochem. Soc., 126, 930 (1979).
[22] P. A. Longeway, R. D. Estes, and H. A. Weakliem, J. Phys. Chem. 88, 73 (1984).
[23] P. D. Richard, R. J. Markunas, G. Lucovsky, G. G. Fountain, A. N. Mansour, and
D. V. Tsu, J. Vac. Sci. Technol., A 3, 867 (1985).
6.2
[24] G. Lucovsky, D. V. Tsu, S. S. Kim, R. J. Markunas, and G. G. Fountain, Appl.
Surf. Sci. 39, 33 (1989).
[25] G. Lucovsky, P. D. Richard, D. V. Tsu, S. Y. Lin, and R. J. Markunas, J. Vac. Sci.
Technol., A 4, 681 (1986).
[26] S. W. Hsieh, C. Y. Chang, and S. C. Hsu, J. Appl. Phys. 74 (4), 2638 (1993).
[27] P. G. Pai, S. S. Chao, and Y. Takagi, J. Vac. Sci. Technol. A 4, 689 (1986).
[28] G. Lucovsky and D. V. Tsu, J. Vac. Sci. Technol. A 5 (4), 2231 (1987).
[29] Sang S. Kim, D. V. Tsu, and G. Lucovsky, J. Vac. Sci. Technol., A 6 (3), 1740
(1988).
[30] S. S. Kim, D. J. Stephens, G. Lucovsky, G. G. Fountain, and R. J. Markunas, J.
Vac. Sci. Technol. A 8 (3), 2039 (1990).
[31] G. Lucovsky, S. S. Kim, and J. T. Fitch, J. Vac. Sci. Technol. B 8 (4), 822 (1990).
[32] G. May , J. Huang, and C. Spanos, IEEE Trans. Semi. Manuf., 4 , 83-98, May
1991.
[33] S. S. Han, M. Ceiler, S. A. Bidstrup, P. Kohl, and G. S. May, IEEE Trans. on
Components, Packaging, and Manufacturing Technology, A (17), 2, Jun. 1994.
[34] S. L. Wright, M. B. Rothwell, J. H. Souk, and Y. Kuo, IEEE Transactions on
Electron Devices, 40 (11), 2128 (1993).
[35] M. S. Feng, C. W. Liang, and David Tseng, J. Electrochem. Soc., 141 (4), 1040
(1994).
[36] Z. Chen, K. Yasutake, A. Doolittle, and A. Rohatgi, Appl. Phys. Lett. 63 (15), 2117
(1993).
[37] K. Yasutake, Z. Chen, S. K. Pang, and A. Rohatgi, J. Appl. Phys. 75 (4), 2048
(1994).
[38] J. C. Decius and R. M. Hexter, "Molecular Vibrations in Crystals", McGraw-Hill,
1977.
[39] E. B. Wilson, Jr., J. C. Decius, and P. C. Cross, "Molecular Vibrations", Dover
Publications Inc., New York, 1955.
[40] D. M. Back, in “Physics of Thin Films” vol. 15, (Maurice H. Francombe and John
L. Vossen, eds.), Academic Press Inc., New York, 265-312 (1991).
[41] P.G. Pai, S. S. Chao, and Y. Takagi, J. Vac. Sci. Technol., A 4, 689 (1986).
[42]
E. H. Nicollian and J. R. Brews, in “MOS Physics and Technology”, WileyInterscience Publication, New York, 83 (1982).
[43]
E. H. Nicollian and J. R. Brews, in “MOS Physics and Technology”, WileyInterscience Publication, New York, 150-153 (1982).
[44]
E. H. Nicollian and J. R. Brews, in “MOS Physics and Technology”, WileyInterscience Publication, New York, 426 (1982).
6.3
[45]
E. H. Nicollian and J. R. Brews, in “MOS Physics and Technology”, WileyInterscience Publication, New York, 467 (1982).
[46]
E. H. Nicollian and J. R. Brews, in “MOS Physics and Technology”, WileyInterscience Publication, New York, 223 (1982).
[47] E. H. Nicollian and J. R. Brews, in “MOS Physics and Technology”, WileyInterscience Publication, New York, 224 (1982).
[48] E. H. Nicollian and J. R. Brews, in “MOS Physics and Technology”, WileyInterscience Publication, New York, 332 (1982).
[49] G. Luckovsky and D. V. Tsu, J. Vac. Sci. Technol., A 5, 4 (1987).
[50] A. M. Andrade, Obtenção e caracterização de filmes finos de silício amorfo.
Aplicações em dispositivos eletrônicos, Tese Doutorado - Escola Politécnica,
Depto. de Eng. Eletrônica, Universidade de São Paulo, 1989.
[51]
I. Pereyra, M. P. Carreño, R. K. Onmori, A. M. Andrade, and R. Martins,
Influence of a DC electric field on hydrogen incorporation and doping efficency
of a-Si:H films, E. C. Photovoltaic Solar Energy Conference 7, Seville.
Proceedings Dordrecht, Kluwer, 528-532 (1986).
[52] A. G. Dias, L. Guimaraes, and R. Martins, The effect of static electric and
magnetic fields on the optical properties of amorphous hydrogenated silicon films
produced by r.f. glow discharge. Thin Sol. Films, 89, 307-313 (1982).
[53] F. Alvarez, Bias Dependence of doping efficiency in hidrogenated amorphous
silicon, Appl. Phys. Lett., 47 (9), 960-962 (1985).
[54] S. Hotta, Y. Tawada, H. Okamoto, Y. Hamakawa, Effects of the substrate potential
on the incorporation manner of hydrogen and impurity in a-Si:H films, J.
Physique, 42 (10), C4-631-4 (1981)./Apresentado ao 9th International Conference
on Amorphous and Liquid Semicondutors, Grenoble, 1981.
[55] D. L. Smith and A. S. Alimonda, J. Electrochem. Soc., 140, 1496 (1993).

Documentos relacionados

Arquivo PDF - Grupo de Novos Materiais e Dispositivos

Arquivo PDF - Grupo de Novos Materiais e Dispositivos Figura 4.18 - Diagrama do valor da largura a meia altura (FWHM) em função da temperatura de recozimento para as amostras Ox-58 e Ox-16............ 75 Figura 4.19 - Valor da amplitude integrada norm...

Leia mais