Sistema de segurança para abertura de guarda

Transcrição

Sistema de segurança para abertura de guarda
Escola Secundária Afonso Lopes Vieira
Curso Profissional de Técnico de Eletrónica e Telecomunicações
2009/2012
Sistema de segurança para abertura de
guarda-joias
Relatório da Prova de Aptidão Profissional
Ana Margarida Castro Dinis, N.º 17418, 3.º ET
Leiria, junho de 2012
Escola Secundária Afonso Lopes Vieira
Curso Profissional de Técnico de Eletrónica e Telecomunicações
2009/2012
Sistema de segurança para abertura de
guarda-joias
Relatório da Prova de Aptidão Profissional
Ana Margarida Castro Dinis, N.º 17418, 3.º ET
Orientador – Paulo Manuel Martins dos Santos
Coorientadora – Judite de Jesus Rosa Judas da Cunha Vieira
Leiria, junho de 2012
Relatório da Prova de Aptidão Profissional – Ana Dinis
“Se queremos progredir, não devemos repetir a história, mas fazer
uma história nova.”
Mahatma Gandhi
-i-
Relatório da Prova de Aptidão Profissional – Ana Dinis
Agradecimentos
Começo por agradecer ao Diretor da Escola Secundária Afonso Lopes Vieira, Dr. Luís Pedro
Costa de Melo Biscaia, e à ex-presidente do conselho executivo, Dr.ª Judite de Jesus Rosa
Judas da Cunha Vieira, por nos terem proporcionado este curso e o seu apoio ao longo desta
etapa da nossa vida.
Agradeço, também, ao Diretor de Curso, o Dr. Paulo Manuel Martins dos Santos, pela sua
persistência neste curso e pela ajuda proporcionada ao longo destes três anos, especialmente,
neste último ano aquando da realização/preparação para Prova de Aptidão Profissional, pois
sem ele nada disto teria sido possível.
Um agradecimento especial à diretora de turma do 2.º ano, Dr.ª Olga Maria Quinta Lopes
Silva e à professora de Área de Integração, Dr.ª Paula Filomena Costa Freitas de Almeida
Morgado.
Gostaria, ainda, de agradecer ao professor de Física e Química, Dr. Jorge Rodrigues
Fernandes, pelos conhecimentos transmitidos, pelas aulas divertidas e pela sua constante
simpatia. Não posso esquecer a professora de Inglês, Dr.ª Maria Gaspar Crespo Martins, que
me proporcionou melhorar os meus conhecimentos naquela língua.
O meu muito obrigado à minha família, em especial aos meus pais e avós, por sempre me
terem dado a liberdade necessária e o seu apoio incondicional, mesmo discordando, por vezes.
- ii -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Índice geral
Agradecimentos..........................................................................................................................ii
Índice geral................................................................................................................................iii
Outros índices............................................................................................................................iv
Índice de figuras....................................................................................................................iv
Índice de tabelas....................................................................................................................iv
Resumo........................................................................................................................................v
Palavras-chave........................................................................................................................v
Abstract.......................................................................................................................................v
Keywords................................................................................................................................v
1.Introdução...............................................................................................................................1
1.1.Apresentação de ideias e linhas fundamentais................................................................1
1.2.Objetivos a alcançar........................................................................................................2
1.3.Estrutura do relatório.......................................................................................................3
2.Desenvolvimento....................................................................................................................5
2.1.Fundamentação do projeto..............................................................................................5
2.2.Métodos e técnicas utilizadas........................................................................................11
2.3.Etapas da execução do projeto......................................................................................13
3.Conclusão..............................................................................................................................25
Bibliografia...............................................................................................................................26
Anexos......................................................................................................................................27
Anexo 1 – Folhas de dados dos principais componentes.....................................................28
- iii -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Outros índices
Índice de figuras
Figura 1: Exemplo de ligação de um sistema RFID...................................................................6
Figura 2: Exemplos de Tags RFID – a) em plástico tipo chaveiro; b) em PVC; c) em cápsula;
d) em etiqueta autocolante.........................................................................................................11
Figura 3: Circuito do projeto em protoboard............................................................................13
Figura 4: Esquema elétrico do projeto......................................................................................14
Figura 5: Desenho da placa de circuito impresso.....................................................................15
Figura 6: Disposição dos componentes na placa de circuito impresso.....................................15
Figura 7: Fluxograma simplificado do programa.....................................................................17
Índice de tabelas
Tabela 1 – Lista de material......................................................................................................16
- iv -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Resumo
Neste trabalho pretende-se criar um guarda-joias com abertura por biochip validado por um
código PIN (Personal Identification Number). O sistema de controlo vai utilizar o
microcontrolador PIC16F628A, que através de um sistema RFID (Radio-Frequency
Identification) irá detetar quando o biochip se aproximar da fechadura do guarda-joias,
situação sinalizada por um LED verde, seguidamente o utilizador deverá digitar o PIN
correspondente. Se estiver correto acende então um LED verde e a fechadura é desbloqueada.
Se o código for inválido acende um LED vermelho e emite um efeito sonoro durante 5
segundos, inviabilizando durante este período qualquer nova tentativa de abertura do
guarda-joias. Passado este tempo o sistema voltará ao seu estado de repouso podendo o
utilizador efetuar nova tentativa de abertura.
Palavras-chave
PIN; RFID; biochip; segurança
Abstract
The project consist into create a jewelry safe opened by a biochip and validated by a PIN
code. The system of control will use the microcontroller PIC16F628A, that with a RFID
system will detect when the biochip is approaching the jewelry safe latch, situation flagged by
a green LED, then the user should insert the correct PIN code. If the code is valid then lights a
green LED and the opener is unlock. If the code is not valid then lights a red LED and makes
a sound effect for 5 seconds, not allowing for this time a new try of opening the jewelry safe.
After the 5 seconds the system will return to is sleeping state and the user can try opening the
jewelry safe again.
Keywords
PIN, RFID, Biochip, security
-v-
Relatório da Prova de Aptidão Profissional – Ana Dinis
1. Introdução
Nesta primeira parte, pretendo apresentar o meu projeto e como nasceu a ideia de criar este
guarda-joias com um sistema de segurança, especialmente criado para as jovens, apesar de
poder ser utilizado por qualquer pessoa, foi pensado e criado para a utilização diária de uma
jovem e, assim, sendo uma forma de guardar os seus bens de uma forma mais segura.
1.1. Apresentação de ideias e linhas fundamentais
No início, pensei construir um carro telecomandado com um portão automático. Depois,
entendi que esse projeto era mais adequado para um dos meus colegas.
Então, porque não fazer algo pensado para raparigas com a tecnologia de que hoje dispomos.
Algo pensado para raparigas e feito somente para raparigas, algo útil e sendo possível a
utilização no dia a dia. Visto que neste curso as raparigas estão em minoria, julguei que fazer
alguma coisa pensada para elas seria uma excelente ideia, pois iria centrar a atenção sobre a
ideia errada que as pessoas têm, o preconceito de ser um curso que não é muito apropriado
para raparigas. Ideia preconceituosa completamente errada, pois independentemente de se ser
rapariga ou rapaz, o que está em causa é ter os mesmos direitos e deveres e, como é óbvio, as
mesmas possibilidades e competências. Os resultados obtidos são atingidos não por ser uma
rapariga ou um rapaz, mas pelo que se trabalha ou luta para os alcançar.
A ideia de transformar um guarda-joias, um objeto completamente normal, em algo mais
sofisticado com esta nova tecnologia de RFID, um guarda-joias mais seguro do que os
normais, um que só fosse possível abrir com o código certo e a etiqueta correspondente
tornando assim praticamente impossível roubar ou sabotar o seu conteúdo. É algo pensado e
feito por um rapariga e para raparigas, o que torna o projeto mais genuíno, pois, hoje em dia
tudo o que vemos é feito a pensar no lucro que o objeto vai proporcionar ao
inventor/construtor e não a sua utilidade. Assim, este projeto foi pensado ao pormenor, de
maneira que fosse muito útil para quem o quisesse utilizar, seja rapaz ou rapariga, pois, com
as devidas modificações, pode ser utilizado por qualquer um.
-1-
Relatório da Prova de Aptidão Profissional – Ana Dinis
Contudo, neste caso, este projeto específico foi apenas pensado para raparigas e ao mesmo
tempo para mostrar que uma rapariga consegue fazer o mesmo que um rapaz ou talvez melhor
ainda. Concluindo este guarda-joias é uma nova tecnologia em termos de segurança para
qualquer tipo de objetos, e apenas pode ser aberto pelo proprietário, pois só o mesmo sabe
como é aberto e o correspondente código PIN.
Quando surgiu a ideia do guarda-joias, não estava pensado este resultado, de início, queria
que o guarda-joias fosse aberto por impressão digital, mas, achei que não seria algo fácil, pois,
quando fosse necessário outra pessoa abrir o guarda-joias, para o testar ou avaliar, não seria
possível abri-lo, e mesmo para mostrar ao público o guarda-joias, não iria ser tarefa fácil.
Então, surgiu a ideia do sensor, um sensor que abrisse o guarda-joias, mas como isso seria
pouco, seria melhor colocar algo que reforçasse a segurança, como um código, algo que é
muito utilizado hoje em dia. Sendo assim, com alguma pesquisa, descobri o RFID, perguntei
ao professor o que seria, e ele explicou tudo detalhadamente para que eu entendesse tudo o
que o RFID fazia.
E assim, decidi fazer o guarda-joias, não com um sensor biométrico, mas com um sistema
RFID, uma tecnologia nova e aparentemente muito eficiente e eficaz.
1.2. Objetivos a alcançar
Após o delinear do projeto, etapa que não foi propriamente fácil, veio o mais complicado:
criar tudo aquilo que fora pensado, sem a existência de nenhum erro e de maneira que tudo
funcionasse bem. Comecei por criar um esquemático com o programa EAGLE, que foi uma
maneira de colocar a minha ideia no papel, e examinar com exatidão aquilo que iria ser
criado. Nessa fase, o meu principal objetivo era conseguir colocar tudo muito claramente no
esquema para que, quando tudo fosse criado, me pudesse guiar pelo esquema sempre que
fosse necessário. Após criado o esquemático, a principal preocupação era conseguir passar o
esquemático para a protoboard com o devido material, e de maneira que não existisse nenhum
problema a nível de curtos-circuitos e aspeto, e criar também um circuito impresso, pois, na
minha opinião é algo que fica sempre muito interessante em todos os trabalhos. Também me
preocupei bastante na criação do código, da programação do microcontrolador para que ele
funcionasse da maneira prevista. Com a programação eu sabia que teria de fazer com que o
-2-
Relatório da Prova de Aptidão Profissional – Ana Dinis
microcontrolador conseguisse ler e verificar o código PIN e também conseguir verificar as
tags correspondentes ao RFID que também seria colocado na protoboard.
Desde o início que eu soube mais ou menos o que teria de fazer para que o projeto
funcionasse da maneira desejada, claro que, a ajuda do professor, foi uma mais-valia, pois
sem essa ajuda nada teria sido realizado.
Desde que comecei com o projeto, que tinha muita curiosidade em saber como iria ficar o
produto final, claro que eu pensava que iria sair uma coisa, mas acabou por ser outra um
pouco diferente, não muito é claro, mas um pouco diferente daquilo que eu pensava. Eu
pensava que o projeto iria ser algo muito pequeno, sem necessidade de mais de uma
protoboard, algo simples, mas eficaz, mas acabou por ser, algo um pouco maior do que aquilo
eu pensava, mas mesmo assim continuou a ser simples e eficaz.
Fiquei feliz com o projeto final, mesmo não sendo bem o que eu pensara que iria ser, gostei.
O produto final acabou por ser uma boa surpresa.
1.3. Estrutura do relatório
Este relatório começa com os meus agradecimentos a várias pessoas e elementos da escola.
Segue-se o resumo, onde, é revelado em termos gerais todo o projeto, depois a Introdução
com os objetivos, as ideias, os produtos e materiais que levaram a este projeto.
No segundo capítulo, no Desenvolvimento, é tratada a fundamentação do projeto, que no meu
caso é o RFID e as aplicações do mesmo. Neste mesmo capítulo ainda se fala dos métodos e
das formas utilizadas para chegar ao produto final, todo o material utilizado, como se
desenvolveu o projeto, as dificuldades e também as facilidades ao longo do projeto. Temos
ainda um subcapítulo onde se mostra o esquemático, o circuito impresso, a lista de material e
onde se apresenta fluxograma do sistema, bem como o código do programa desenvolvido.
Por fim, temos o capítulo da Conclusão onde é feito um balanço de todo o trabalho e se
inscrevem todas as conclusões a que cheguei com este projeto. Finalmente a Bibliografia,
onde estão todos os websites utilizados para a realização do relatório.
Nos Anexos, incluem-se algumas folhas de dados (datasheets) dos principais componentes
utilizados.
-3-
Relatório da Prova de Aptidão Profissional – Ana Dinis
Numa forma geral, o relatório da Prova de Aptidão Profissional trata de tudo aquilo que foi
feito ao longo do ano com o projeto, mas de uma forma mais formal e profissional de modo a
que todos possam entender o trabalho realizado neste projeto.
-4-
Relatório da Prova de Aptidão Profissional – Ana Dinis
2. Desenvolvimento
Neste capítulo vou apresentar onde o projeto foi fundamentado e como foi construído, desde o
esquemático, circuito impresso, programação e fluxograma. Também vai ser apresentada a
lista de material utilizado em todo o projeto e todas as dificuldades sentidas e ultrapassadas ao
longo de todas as etapas.
2.1. Fundamentação do projeto
Identificação por radiofrequência ou RFID (do inglês "Radio-Frequency Identification") é um
método de identificação automática através de sinais de rádio, recuperando e armazenando
dados remotamente através de dispositivos denominados etiquetas ou tags RFID.
Uma etiqueta ou tag RFID é um transponder, pequeno objeto que pode ser colocado numa
pessoa, animal, equipamento, embalagem ou produto, entre outros. Contém chips de silício e
antenas que lhe permite responder aos sinais de rádio enviados por uma base transmissora.
Além das etiquetas passivas que respondem ao sinal enviado pela base transmissora, existem
ainda as etiquetas semi-passivas e as ativas, dotadas de bateria, que lhes permite enviar o
próprio sinal. Existem diversos métodos de identificação, mas o mais comum é armazenar um
número de série que identifique uma pessoa ou um objeto, ou outra informação, num
microchip.
Hoje em dia encontramos RFID em quase todos os locais, desde produtos alimentares a roupa
e sapatos. É devido ao RFID que cada vez mais se controlam os roubos nos supermercados e
hipermercados, lojas e cafés. Se os fabricantes dos variados produtos, colocarem uma tag
RFID nos seus produtos, assim que o leitor RFID, que deverá ser colocado nos sistemas
antirroubo, detetar a tag que está colocada nos produtos, irá fazer soar um efeito sonoro para
que os operadores de caixa possam identificar uma pessoa que possivelmente está a tentar
roubar. Assim, as hipóteses de roubo tornar-se-ão menos eficazes e frequentes.
-5-
Relatório da Prova de Aptidão Profissional – Ana Dinis
Figura 1: Exemplo de ligação de um sistema RFID
Se andarmos um pouco para trás no tempo, vamos descobrir que o RFID teve raízes na
Segunda Guerra Mundial. Os alemães, japoneses, americanos e ingleses utilizavam um
sistema de radares, descoberto em 1937 por Sir Robert Alexander Watson-Watt, um físico
escocês, que servia para avisá-los previamente da chegada de um avião enquanto ele ainda
estava longe, no entanto colocava-se ainda um problema: saber se seria um avião aliado ou
inimigo, mas os alemães descobriram uma forma de saber. Descobriram que, se os pilotos
girassem os aviões quando voltassem à base, o sinal de rádio refletido no radar iria ser
modificado.
Sir Robert Alexender Watson-Watt e os ingleses, desenvolveram o primeiro identificador de
aliado ou inimigo, o IFF (Identify Friend or Foe). Esse transmissor foi colocado em todos os
aviões britânicos e quando esses transmissores recebiam sinais das estações de radar no solo,
começavam a transmitir um sinal de resposta, que identificava o aeroplano como Friendly.
Ainda hoje esse sistema tem o mesmo princípio.
Os avanços na área de comunicações e radares continuaram, liderados por cientistas e
académicos dos Estados Unidos, Japão e Europa que realizaram pesquisas e estudos em como
as radiofrequências poderiam ser utilizadas para identificar objetos.
Esses estudos estavam corretos e como sabemos, hoje em dia essa tecnologia é utilizada nas
mais variadas situações. As etiquetas ou tags do RFID, funcionam a bits, cada etiqueta tem
-6-
Relatório da Prova de Aptidão Profissional – Ana Dinis
um dado número de bits e um ou dois números de confirmação, esses números de
confirmação estão sempre a 1, para que o leitor RFID os possa ler. Quando essas tags são
utilizadas nos supermercados ou lojas, como referido acima, assim que o produto é pago na
caixa esse bit que está a 1 passa a 0 para que o leitor RFID não o possa ler, mas, se esse ou
esses produtos não são devidamente passados na caixa o bit da tag mantém-se a 1 o que faz
com que o leitor RFID consiga ler a informação que a tag contém.
No dia 23 de janeiro de 1973, Mario W. Cardullo requereu a patente para uma etiqueta ativa
de RFID com uma memória regravável. Nesse mesmo ano, Charles Walton, recebeu a patente
por um transponder passivo usado para destravar uma porta sem a utilização de uma chave
(muito utilizado hoje em dia nos mais variados locais). Um cartão com um transponder
comunicava com um leitor/recetor localizado perto da porta. Quando o recetor detetava um
número de identificação válido armazenado na etiqueta RFID, a porta era destravada através
de um mecanismo.
No começo da década de 90, os engenheiros do IBM, patentearam um sistema de RFID
baseado na tecnologia de UHF (Ultra High Frequency).
A leitura das tags é uma antena que, numa configuração portátil, compõe o dispositivo RFID
em conjunto com o leitor e o descodificador. A antena induz energia ao(s) transponder(s) para
comunicação de dados dentro do campo de transmissão, estes dados, depois de lidos, são
passados ao controlador do sistema de RFID. A antena emite um sinal de rádio que ativa a
etiqueta, realizando a leitura. Essa emissão de ondas de rádio é difundida em diversas direções
e distâncias, dependendo da potência e da frequência usada. O tempo decorrido nesta
operação é inferior a um décimo de segundo, portanto o tempo de exposição necessário da
etiqueta é pequeno. A função do leitor é ler e descodificar os dados que estão numa etiqueta
que passa pelo campo eletromagnético gerado pela antena. Os leitores são oferecidos em
diversas formas e tamanhos conforme a exigência operacional da aplicação.
Existem vários controladores de RFID disponíveis para vários protocolos de comunicação. Os
sistemas de RFID também podem ser definidos pela faixa de frequência em que operam:
− Sistemas de Média e Alta Frequência (30 a 500 KHz): Para curta distância de leitura e
baixos custos. Normalmente utilizados para controle de acesso, localização e
identificação.
-7-
Relatório da Prova de Aptidão Profissional – Ana Dinis
− Sistemas de Ultra High Frequency (850 a 950 MHz e 2,4 a 2,5 GHz): Para leitura em
médias ou longas distâncias e leituras em alta velocidade. Normalmente utilizados
para leitura de tags em veículos ou recolha automática de dados numa sequência de
objetos em movimento. Um exemplo de aplicação é a Via Verde, sistema de
pagamento eletrónico das autoestradas de Portugal.
As aplicações hoje em dia do sistema de RFID são as mais variadas, temos:
− Aplicações hospitalares;
− Aplicações automóveis;
− Implantes humanos e animais;
− Aplicações industriais;
− Aplicações comerciais;
− Aplicações em segurança;
− Em manutenção;
− Código de barras.
Os componentes da tecnologia RFID são três: Antena, Transceiver (com descodificador) e
Transponder (chamado de RFID Tag ou apenas Tag), composto de antena e microchip.
A antena ativa o Tag, através de um sinal de rádio, para enviar informações (no processo de
leitura). As antenas são fabricadas em diversos tamanhos e formatos, possuindo configurações
e características distintas, cada uma para um tipo de aplicação. Quando a antena, o
transceiver e o descodificador estão no mesmo invólucro recebem o nome de “leitor”.
O leitor emite frequências de rádio que são dispersas em diversos sentidos no espaço, desde
alguns centímetros até alguns metros, dependendo da saída e da frequência de rádio utilizada.
O leitor opera pela emissão de um campo eletromagnético (radiofrequência), a fonte que
alimenta o Transponder, que, por sua vez, responde ao leitor com o conteúdo da memória. Por
apresentar essa característica, o equipamento pode ler através de diversos materiais como
papel, cimento, plástico, madeira, vidro, etc. Quando o Tag passa pela área de cobertura da
antena, o campo magnético é detetado pelo leitor, que descodifica os dados codificados no
Tag, passando-os para um computador ou microcontrolador realizar o processamento.
-8-
Relatório da Prova de Aptidão Profissional – Ana Dinis
Os Transponders (ou RFID Tags) estão disponíveis em diversos formatos, tais como cartões,
pastilhas, argolas e em materiais como plástico, vidro, etc. Os Tags têm duas categorias:
Ativos e Passivos. Os primeiros são alimentados por uma bateria interna e permitem
processos de escrita e leitura. Os Tags Passivos são do tipo só leitura (read only), usados para
curtas distâncias. Nestes, as capacidades de armazenamento variam entre 64 bits e 8 kilobits.
O mercado observa com cautela a utilização da tecnologia, sabe que existem diversos
fornecedores de soluções completas em RFID e percebe que há oportunidades promissoras,
desde a logística até a segurança do consumidor, mas sabe também que os ganhos serão
auferidos integralmente apenas quando existirem normas globais que regulem os diferentes
aspetos dos equipamentos e do seu uso. Sabe-se que muito já pode ser feito, mesmo que ainda
sob forma de soluções individuais para as empresas.
Ainda temos as vantagens e desvantagens do uso do RFID.
Vantagens:
•
A capacidade de armazenamento, leitura e envio dos dados para etiquetas ativas;
•
A deteção sem necessidade da proximidade do leitor para o reconhecimento dos
dados;
•
A durabilidade das etiquetas com possibilidade de reutilização;
•
A contagem instantânea de mercadoria, facilitando os sistemas empresariais de
inventário;
•
A precisão nas informações de armazenamento e velocidade na expedição;
•
A localização dos itens ainda em processos de busca;
•
A prevenção de roubos e falsificação de mercadorias;
•
A colheita de dados de animais ainda no campo;
•
O processamento de informações nos matadouros.
-9-
Relatório da Prova de Aptidão Profissional – Ana Dinis
Desvantagens:
•
O custo elevado da tecnologia RFID em relação aos sistemas de código de barras;
•
O preço final dos produtos, pois a tecnologia não se limita ao microchip anexado ao
produto apenas. Por trás da estrutura estão antenas, leitores, ferramentas de filtragem
das informações e sistemas de comunicação;
•
O uso em materiais metálicos e condutivos relativos ao alcance de transmissão das
antenas. Como a operação é baseada em campos magnéticos, o metal pode interferir
negativamente no desempenho;
•
A padronização das frequências utilizadas para que os produtos possam ser lidos por
toda a indústria, de maneira uniforme.
•
A invasão da privacidade dos consumidores por causa da monitorização das etiquetas
coladas nos produtos.
Supõe-se que num futuro próximo outros mercados, além do mercado de logística, perceberão
a grande vantagem na instalação desta tecnologia, tais como prestadores de serviços e grandes
fornecedores. Como a operação por RFID agrega eficiência, a previsão é de que no futuro
todos os mercados irão adotar esta tecnologia, quer eles queiram ou não.
Com isto podemos concluir que a utilização de RFID tem evoluído cada vez mais ao longo
dos anos evoluído e irá continuar a evoluir, vai tendo cada vez maior sucesso e é uma forma
de nos mantermos todos em melhor segurança, pois é um sistema muito eficaz, prático e
simples.
Sendo assim, a minha fundamentação, foi o RFID, pois foi algo que eu utilizei ao longo da
criação do projeto, algo que eu tive de criar como livraria no programa EAGLE e cujas
antenas eu tive de fabricar, para uma tentativa de o RFID utilizado funcionar.
O professor também criou uma placa de simulação de RFID, algo muito eficiente e que
funcionava tal como o RFID, algo muito impressionante que funcionava e enviava carateres
para o computador, tal como o próprio RFID e enviava para o microcontrolador os bits
correspondentes à cápsula e à etiqueta do RFID disponíveis na escola, fazendo com que o
microcontrolador lesse os dados enviados como se fosse um RFID original.
- 10 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Figura 2: Exemplos de Tags RFID – a) em plástico tipo
chaveiro; b) em PVC; c) em cápsula; d) em etiqueta
autocolante
2.2. Métodos e técnicas utilizadas
Ao longo de todo o projeto existiram algumas dificuldades, como por exemplo fazer o código
para verificar o PIN do teclado, não existiram problemas na leitura das teclas do teclado, nem
na forma de entender como eram lidas, mas para verificar o código PIN do teclado, já foi algo
onde tive bastantes dificuldades, mas com a ajuda do professor foi possível não só fazer o
código de verificação, como também entender como esse código é feito e em que é que
consiste. Fazer piscar os LEDs também foi algo fácil, outrora já tinha sido feito, e eu sabia
como fazê-lo, por isso, bastou aplicar os meus conhecimentos a este projeto específico. Ler o
RFID também foi bastante complicado, pois nunca o tinha feito, nem sabia como fazê-lo,
então necessitei de muita ajuda da parte do professor para me explicar e ajudar a concretizar
esta parte. Agora sei como fazê-lo e percebo muito bem como funciona. Fazer o esquemático
- 11 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
também foi algo simples, pois também já sabia como fazer, mas tive de o aplicar ao meu
projeto, tive de fazer algumas modificações ao esquema inicial, pois, ao analisar algumas
coisas, reparei que necessitavam de ser trocadas ou eliminadas. Passar do esquema para a
protoboard também não foi difícil, também já tinha feito, mas claro que, se cometem sempre
alguns erros, mas erros que foram verificados antes de cometer um erro bem pior.
Fazer a placa de circuito impresso no computador foi algo que nunca experimentara, mas
estou na fase da aprendizagem, não parece ser algo complicado, mas é um pouco difícil fazer
tantas ligações em tão pouco espaço, pelo que tive algumas dificuldades em entender como
funcionava o programa, mas com a ajuda do professor, consegui fazer a placa que desejava.
O fluxograma também foi bastante fácil, apesar de nunca ter feito nenhum, o programa
utilizado é bastante acessível e fácil de trabalhar com ele, portanto consegui sem ajuda, fazer
um fluxograma bastante simples e onde se entende perfeitamente tudo aquilo que o programa
faz.
O guarda-joias foi fácil de arranjar, pois não tive de o fazer o que ajudou bastante no trabalho,
já tinha sido uma prenda e eu apenas o aperfeiçoei para que funcionasse da maneira que eu
queria. Decorei-o da maneira que achei melhor, fazendo com que se tornasse tão feminino
quanto eu queria e tão eficaz quanto possível.
O circuito é inserido dentro da caixa, num compartimento secreto, de forma que não se note
que está ali dentro eletrónica, mas que essa eletrónica seja eficaz, quer dentro da caixa, quer
fora da caixa.
No geral, o projeto não foi muito complicado, mas claro que, se não tivesse ajuda, não iria
conseguir fazer nem metade do projeto apresentado, pois são coisas que eu nunca fiz e não
sabia como fazer. Com a ajuda do professor consegui aprender coisas, que nunca irei
esquecer, pois pareciam coisas muito complicadas, mas agora que sei fazer e já penso que são
coisas até bastante simples e fáceis de fazer.
Foi um projeto que gostei muito de fazer, pois com este projeto aprendi coisas muito
importantes e que um dia mais tarde, ao longo da vida, posso vir a utilizar.
Como a tecnologia está sempre a avançar, todos devíamos saber tirar partido dela, todos
devíamos recorrer a todas as novas aplicações tecnológicas que nos são oferecidas.
- 12 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Este projeto é algo de que me orgulho muito, pois mostra aprendizagens feitas ao longo de
três anos, das quais resultou este produto final.
Figura 3: Circuito do projeto em protoboard
2.3. Etapas da execução do projeto
Neste subcapítulo vai ser explicado com todos os detalhes, tudo o que foi feito ao longo deste
ano, com o projeto, desde esquemático, circuito impresso, lista de material, fluxograma,
código do programa e ainda toda a explicação e detalhes de tudo o que foi importante ao
longo desta jornada, e de tudo o que foi feito para que o projeto final pudesse ser realizado e
concluído.
Para começar, temos o esquemático do projeto, que foi realizado com a aplicação EAGLE no
sistema operativo UBUNTU LINUX. Este esquemático demonstra o bom funcionamento do
projeto e o material utilizado. Escolhi o microcontrolador PIC16F628A pois é um
microcontrolador que estou bastante habituada a utilizar e acho que é um dos melhores
microcontroladores do mercado, o RFID escolhido foi uma proposta feita pelo professor que
- 13 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
eu analisei e achei que era uma ótima opção. Decidi colocar um LED bicolor verde e
vermelho pois é uma solução mais prática do que colocar dois LEDs, um verde e outro
vermelho, daria mais trabalho e ocuparia mais espaço. O regulador de tensão foi, também,
uma proposta do professor para poder utilizar-se uma pilha de 9V com a fonte de energia
elétrica, e assim ficou decidido colocar este material, porque além de ser material bom e
robusto, é também material que ocupa pouco espaço e é fácil de entender como é colocado e
como tem de ser ligado, sem efetuar nenhuma ligação errada.
Figura 4: Esquema elétrico do projeto
- 14 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
De seguida, temos o circuito impresso do projeto. Como é possível verificar, é um circuito
bastante eficiente e prático, com todos os componentes utilizados no projeto. No meu caso foi
necessário fazer o circuito impresso, pois a estética do projeto torna-se mais bonita e é mais
fácil de colocar o circuito dentro da caixa de madeira, fazendo com que o circuito fique mais
escondido e sendo mais fácil o funcionamento.
Figura 5: Desenho da placa
de circuito impresso
Figura 6: Disposição dos
componentes na placa de
circuito impresso
- 15 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Agora, temos a lista de todo o material utilizado no projeto. É uma lista mais específica do
material já referido acima. Com esta lista é possível verificar o material utilizado e a sua
categoria como material eletrónico e como foi utilizado no projeto.
Tabela 1 – Lista de material
Item n.º
Nome
Quantidade
Descrição/Valor
1
ANT1
1
Antena para RFID
2
C1,
C2, 3
Condensador cerâmico de 100nF
C3, C4
3
C5
1
Condensador eletrolítico 10μF 16V
4
C6
1
Condensador eletrolítico 1μF 16V
5
D1
1
LED bicolor verde/vermelho
6
D2
1
Díodo retificador 1N4148
7
G1
1
Pilha de 9V 200mA
1
Clipe para pilha de 9V 200mA
8
9
IC1
1
Microcontrolador PIC16F628A
10
IC2
1
Regulador de tensão 78L05
11
KB1
1
Teclado de PIN
12
SL1
1
Trinco
13
LED1
1
LED verde de 3mm
14
MD1
1
Módulo RFID UM-005
15
R1, R3
2
Resistência de 330Ω
16
R2
1
Resistência de 10kΩ
17
SG1, SP1 2
Besouro piezoelétrico
18
T1
1
Transístor bipolar BC337
19
JP1
1
Shunt de 3 pinos
Seguidamente, temos o fluxograma do programa, que foi todo feito na aplicação Dia em
UBUNTU, onde podemos ver toda a estrutura do programa de uma maneira mais simples e
rápida, verificando a sua eficácia e bom funcionamento. O fluxograma ajuda bastante a
entender todo o programa principalmente a pessoas que não entendam como ler os códigos de
programação de todo o projeto, é uma grande ajuda em todo o relatório, pois assim que
alguém observa este fluxograma, consegue perceber de imediato tudo aquilo que o projeto e o
programa fazem, mesmo sem perceber nada de eletrónica e programação.
- 16 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Figura 7: Fluxograma simplificado do programa
- 17 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Abaixo, está toda a programação do microcontrolador. Este programa começa pelas
configurações do microcontrolador, é algo muito importante, pois um erro neste aspeto pode
estragar permanentemente o microcontrolador. Temos a opção BOREN=ON, esta configuração
faz com que o microcontrolador seja reiniciado quando a tensão de alimentação baixe a níveis
críticos, a opção CP=OFF, quando esta configuração está ativa, o código do programa fica
protegido para que ninguém possa aceder a ele, por isso está OFF, seria uma boa opção para
algo comercial. A proteção dos dados, DATA=CP_OFF, da memória também está OFF, é
outra opção boa para algo comercial, mas não para um projeto escolar como este. Depois
temos a opção que faz com que o microcontrolador seja inicializado quando é ligada a
alimentação, PWRTE=ON, e por isso está ON. A configuração seguinte, WDT=OFF,
corresponde ao watchdog (cão de guarda) existente no microcontrolador, neste projeto está
OFF, mas poderia estar ON, é algo que irei explorar um dia mais tarde para tornar todo o
programa mais eficiente. A configuração, LVP=OFF, não está a ser utilizada neste momento,
esta opção faz com que o microcontrolador seja programado numa voltagem mais baixa em
certos programadores, que não é o caso dos existentes na escola.
Com a configuração, MCLRE=OFF, é possível fazer o Reset do sistema do microcontrolador
através de um pino específico e, por isso, está OFF, poderia estar ON, mas neste momento
não é necessário. Por fim, temos a configuração, OSC=INTOSC_OSC_NOCLKOUT, que
define o tipo de oscilador que o microcontrolador vai usar, o seu oscilador interno.
O programa define todas as constantes e variáveis. Após isso inicia as instruções propriamente
ditas do programa, que é sinalizado pelo piscar dos LEDs, primeiro o LED verde e depois o
vermelho.
O microcontrolador recebe os dados do RFID e verifica se esses dados estão corretos, se
estiverem, pisca o LED verde e vai verificar o PIN, senão acende o LED vermelho e emite
som do besouro e volta ao início da rotina principal de receber os dados do RFID novamente.
Após verificar os dados do módulo RFID, o microcontrolador vai verificar se a tecla cardinal
(#) foi pressionada, se foi, o programa verifica então se o código PIN foi o correto “2345”, se
foi, o programa acende o LED verde e destranca o trinco, senão acende o LED vermelho e
emite o som do besouro durante 5 segundos, impedindo durante esse tempo uma nova
tentativa.
- 18 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Caso o PIN esteja correto ou errado, o programa apaga sempre os dados da tentativa anterior
para impossibilitar tentativas de descoberta de dados válidos anteriormente processados.
O programa está mais resumido acima, no fluxograma, que é uma maneira mais fácil e
simples de entender todo o código que se lista abaixo, apesar de não ser um código difícil de
entender, pois tem muitos comentários, para ajudar a entender o que cada uma das linhas do
programa faz, para se entender o que afinal o programa faz.
;*************************************************************
;*******************
Programa Final
******************
;*************************************************************
; Nome do Ficheiro: programa_final.gcb
; Data:
janeiro 2012
; Versão:
1.0
; Programado por:
Ana Dinis
; Escola:
Escola Secundária Afonso Lopes Vieira
; Descrição:
Programa final do sistema de segurança
;
para guarda-jóias com a utilização do
;
PIC16F628A e um módulo RFID UM-005.
;
;Chip Settings
#chip 16F628A,4
#config BOREN=ON, CP=OFF, DATA=CP_OFF, PWRTE=ON, WDT=OFF, LVP=OFF,
MCLRE=OFF, OSC=INTOSC_OSC_NOCLKOUT
;Defines (Constants)
#define SendAHigh SET PORTA.6 Off
#define SendALow SET PORTA.6 On
#define RecAHigh PORTA.7 Off
#define RecALow PORTA.7 On
#define KeypadPort PORTB
#define KEYPAD_COL_1 PORTB.0
#define KEYPAD_COL_2 PORTB.1
#define KEYPAD_COL_3 PORTB.2
#define KEYPAD_COL_4 PORTB.3
#define KEYPAD_ROW_1 PORTB.4
- 19 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
#define KEYPAD_ROW_2 PORTB.5
#define KEYPAD_ROW_3 PORTB.6
#define KEYPAD_ROW_4 PORTB.7
;Variables
Dim Temp1(11)
Dim Count1 As byte
Dim Key_Code As byte
Dim N_Times As byte
Dim Key_Char As byte
Dim PIN(8)
Dim DataCount As byte
Dim PIN_Ok As bit
Dim PIN_Code As string * 8
'Inatialise pins and variables
Dir PORTA.1 Out
Dir PORTA.2 Out
Dir PORTA.7 In
Dir PORTA.6 Out
Dir PORTA.3 Out
Dir PORTA.0 Out
InitSer 1, r9600, 1+WaitForStart, 8, 1, None, Invert
DataCount = 0
PIN_Code = "2345"
'Pisca os LEDs sinalizadores
Set PORTA.1 Off
Set PORTA.2 Off
PulseOut PORTA.1, 10 ms
Wait 500 ms
PulseOut PORTA.2, 10 ms
Wait 500 ms
'Rotina principal do programa
Main:
'Recebe os dados do módulo RFID
For Count1 = 1 to 11
- 20 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
SerReceive 1, Temp1(Count1)
Next Count1
'Verifica dados válidos
'
0x01;0x0b;0x03;0x04;0x60;0x0C*;0x9D*;0xEA;0xFF;0x6E;0xA0 - Cápsula
'
0x01;0x0b;0x03;0x0F;0x02;0x96;0xCA;0x39;0xFF;0xC5;0x43 - Cartão
If Temp1(6) = 12 and Temp1(7) = 157 Then
'O LED faz uma pequena piscadela de validação
PulseOut PORTA.1, 100 ms
Goto ReadKeypad
Else
'Pisca a cor vermelha do LED e emite som
Set PORTA.0 On
Set PORTA.2 On
Wait 2 s
Set PORTA.0 Off
Wait 13 s
Set PORTA.2 Off
Goto Main
End If
'Verifica PIN introduzido via teclado numérico
ReadKeypad:
'Lê o teclado
Key_Code = KeypadData
'Se não foi premida nenhuma tecla, volta atrás para ler teclado
If Key_Code = 255 Then
Goto ReadKeypad
End If
'Aguarda algum tempo para se soltar a tecla
Wait 150 ms
'Se foi premida a tecla CARDINAL (#), verifica o PIN
If Key_Code = 2 Then
- 21 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Goto CheckPIN
End If
'Caso contrário, converte o código da tecla para o código ASCII do caráter
e verifica se é caráter numérico
GetKeyChar
If Key_Char < 48 Or Key_Char > 57 Then
Goto ReadKeypad
End If
'O LED faz uma pequena piscadela de validação
PulseOut PORTA.1, 10 ms
'Acrescenta o caráter à sequência
DataCount = DataCount + 1
PIN(DataCount) = Key_Char
'Espera até a tecla ser solta
Do Forever
Loop while KeypadData <> 255
Goto ReadKeypad
'Valida o PIN
CheckPIN:
PIN_Ok = 1
For N_Times = 1 to PIN_Code(0)
If PIN(N_Times) <> PIN_Code(N_Times) Then
PIN_Ok = 0
End If
Next N_Times
If PIN_Ok = 1 Then
'Pisca a cor verde no LED e desbloqueia o trinco
Set PORTA.1 On
PulseOut PORTA.3, 500 ms
Wait 2 s
Set PORTA.1 Off
Else
- 22 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
'Pisca a cor vermelha do LED e emite som
Set PORTA.0 On
Set PORTA.2 On
Wait 2 s
Set PORTA.0 Off
Wait 13 s
Set PORTA.2 Off
End If
'Limpa dados anteriores
For N_Times = 1 To 8
PIN(N_Times) = 0
Next N_Times
DataCount = 0
'Volta ao início da rotina principal do programa
Goto Main
'''Converte código do teclado no código ASCII do caráter
Sub GetKeyChar
If Key_Code = 3 Then
Key_Char = 48
Else
If Key_Code = 13 Then
Key_Char = 49
Else
If Key_Code = 15 Then
Key_Char = 50
Else
If Key_Code = 0 Then
Key_Char = 51
Else
If Key_Code = 12 Then
Key_Char = 52
Else
If Key_Code = 9 Then
Key_Char = 53
Else
If Key_Code = 8 Then
- 23 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Key_Char = 54
Else
If Key_Code = 11 Then
Key_Char = 55
Else
If Key_Code = 6 Then
Key_Char = 56
Else
If Key_Code = 5 Then
Key_Char = 57
Else
Key_Char = 255
End If
End If
End If
End If
End If
End If
End If
End If
End If
End If
Exit Sub
End Sub
- 24 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
3. Conclusão
Para terminar, posso dizer que é algo que deu muito trabalho, mas que no fim foi muito
compensador e do qual me orgulho muito, pois demonstra tudo aquilo que sei e fiz.
Neste relatório, está tudo aquilo que eu fiz, desde esquemáticos, circuito impresso e
fluxograma que demonstram tudo aquilo que eu aprendi, e que, neste projeto apliquei, para
demonstrar tudo aquilo que foi ensinado e tudo aquilo que ficou retido na minha memória,
coisas que nunca irei esquecer pois são muito importantes e interessantes.
Este relatório demonstra tudo aquilo que eu sei fazer e tudo aquilo que os professores se
empenharam para me ensinar e para me ajudar em tudo o que eu necessitei. Também
evidencia as dificuldades que eu senti ao longo do projeto e as facilidades, mas também é uma
forma de mostrar aos outros que fui capaz de realizar algo muito importante e que muitos
pensavam que eu nunca iria ser capaz de obter.
Este projeto é uma prova de como eu fui capaz e de como sou capaz de tudo concretizar o que
me proponho fazer, basta ter um pouco de paciência e autoestima e também acreditar nas
competências que tenho e naquilo que tenho de melhorar, ser capaz de admitir quando cometo
algum erro e ser capaz de ultrapassar esses erros da forma mais certa e tentando arranjar
soluções para problema futuros, ser muito proativa e também tenho a noção de todos os
problemas que existem e que tem de ser ultrapassados.
O relatório revela tudo aquilo que foi feito, ao longo dele existem as várias etapas do trabalho
e todas as dificuldades com que me deparei e também tudo aquilo que teve de ser feito para
que tudo funcionasse com as normas.
Assim, como conclusão, pode ser dito que este projeto foi trabalhoso, mas que valeu muito a
pena. Sem este projeto e sem este curso, não me seria possível estar nesta etapa neste
momento.
- 25 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Bibliografia
[1]
Identificação por Radiofrequência – Wikipédia, acedido a 12 de março de 2012, em
http://pt.wikipedia.org/wiki/Identificação_por_radiofrequência
[2]
Como funciona o RFID – HowStuffWorks, acedido a 12 de março de 2012, em
http://electronics.howstuffworks.com/gadgets/high-tech-gadgets/rfid.htm
[3]
A
Tecnologia
RFID,
acedido
a
12
de
março
de
2012,
em
http://www.unibero.edu.br/download/revistaeletronica/Set04_Artigos/A
%20Tecnologia%20RFID%20-%20BSI.pdf
[4]
Site do RFID em Portugal, acedido a 10 de outubro de 2011, em http://www.rfid.pt/
[5]
Comunidade do RFID Portugal, acedido a 10 de outubro de 2011, em
http://www.portalrfid.net/
[6]
Jornal
online
do
RFID,
acedido
a
10
de
outubro
de
2011,
em
http://www.rfidjournal.com/
[7]
Datasheet e informações sobre o módulo RFID utilizado, acedido a 20 de outubro de
2011, em http://www.lextronic.fr/P1476-module-oem-rfid-um-005.html
[8]
Datasheet do microcontrolador PIC16F628A, acedido a 20 de outubro de 2011, em
http://ww1.microchip.com/downloads/en/devicedoc/40044f.pdf
[9]
Datasheet do transístor 2N2222, acedido a 20 de outubro de 2011, em
http://www.stanford.edu/class/ee133/datasheets/2n2222.pdf
[10]
Datasheet do regulador de tensão 78L05, acedido a 21 de outubro de 2011, em
http://www.ti.com/lit/ds/symlink/lm78l05.pdf
[11]
Datasheet do circuito integrado conversor TTL-RS232, acedido a 21 de outubro de
2011, em http://www.ti.com/lit/ds/symlink/max232.pdf
- 26 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Anexos
- 27 -
Relatório da Prova de Aptidão Profissional – Ana Dinis
Anexo 1 – Folhas de dados dos principais componentes
C-5235
–
Teclado matricial de 12 teclas (0..9, *, #)
BC337
–
Transístor bipolar NPN de silício, VCEO=45V, IC=500mA
LM78L05
–
Regulador de tensão positiva, VI=35V, VO=5V, IO=100mA
MAX232
–
Adaptador de níveis de tensão EIA-232 duplo, VCC=5V, ICC=8mA
PIC16F628A –
Microcontrolador de 8 bits (especificações, pinagem, diagrama de
blocos e descrição dos pinos)
UM-005
–
Módulo RFID (125kHz) com saída série TTL de dados, V CC=4,5 a 5,5V,
ICC=5 a 55mA, 9600bps
- 28 -
C-5235
TECLADO MATRICIAL
FADISEL S.L.
âã
C0MPONENTS
Los nombres registrados y marcas que se citan son propiedad de sus respectivos titulares.
CARACTERISTICAS TECNICAS.
Teclado mecánico tipo "telefónico" con conexión matricial.
Dispone de 10 teclas numéricas (0 al 9) y dos teclas de símbolos ( * y # ).
El conector está compuesto por una tira de 7 pines situada en el lateral, con paso 2,54 mm. y una longitud de 8mm.
Fuerza actuación tecla
Vida útil
Recorrido de la tecla
Temperatura de trabajo
Resistencia de contacto
Especialmente indicado para aplicaciones profesionales, tales como: control de accesos, cuadros de control y
regulación de maquinaria y procesos, etc...
100 ±25g
1.000.000 ciclos
1.3 ±0.5mm
-27 a 60ºC
200 mW máximo
DIMENSIONES Y CONEXIONADO.
NOTA: Cotas en milímetros.
Conexionado:
Cotas:
1
2
3
4
5
6
7
8
9
*
0
#
*
0
#
1234567
1234567
CONSIDERACIONES.
Este componente está destinado para su uso por parte de profesionales, o usuarios con un nivel técnico o conocimientos suficientes, que les permita desarrollar por sí mismos los proyectos o aplicaciones deseados. Por este motivo no se
facilitará asistencia técnica sobre problemas de implementación del citado componente en las aplicaciones en las que sea empleado.
Para cualquier problema relativo al funcionamiento del producto (excluidos los problemas de aplicación), póngase en contacto con nuestro departamento técnico. Fax 93 432 29 95.
Correo electrónico: [email protected]. La documentación técnica de este producto responde a una transcripción de la proporcionada por el fabricante.
Los productos de la familia "Componentes" de Cebek disponen de 1 año de garantía a partir de la fecha de compra. Quedan excluidos el trato o manipulación incorrectos.
Disponemos de más productos que pueden interesarle, visítenos en: www.fadisel.com ó solicite GRATUITAMENTE nuestro catálogo.
1
Rev. Full0223
Total Pag: 1
LMN
1
O
P
LMN
1
O
QP
LM<
<
O
AR
ST
RUU
V=
W3W
X4Y745
94954
Z[2
U\
]
1O
W5[V^4
5UU_
3456789
69
`9
12 3456789
45
121 4
68495
! "!#
a^
12 3456789
5[4[b
ac
7V^4
38CX
Wd3
hij%k
*+,3G
hij%kl
*+,G3G
$
%
&
*+,-.2,+m34
hiGGk
efga=
*ikH
*i.G'
$%& '(")"*+,-.'/*+,-.("!01 2*0!"34#
3W3
85VV9
hijHk
hijHkl
hiG3k
125 6974
7 8"90!
7 :;(!
12< =895
7 > ;"!09"/?"@"0!"
12A B78C
448
69
a^
52
ncV^5
ris+
ti
tiw
9xs
B78C
448
69
34V94
00!?"!!(!
00!0!2vi4
100!0!
vi0!"
hij%kJhij%klJhiGGk
hij%k%yJhij%k%ylJhiGGk%y
hij%k3-Jhij%k3-lJhiGGk3hij%k.HJhij%k.HlJhiGGk.H
$%& !!D!EFGHHI JKEFH#H3#
M5695
)J
ti u%H?'
o
$
%
&
ti u%HH?'J risu%r
%HH
%HH
%yH
3-H
ac
op q9
.- r
-HH ?'
% '
yHH
3-H
.HH
yHH
<=>
0
1
?
%
<=>
0
1
@?
%
<=A
A
1
BC%DE%C--%3F%22%G);)&HIJ"J ')%$&;':'$'
28%9)3:# ;!"#$'
5, :;;:;G%:;73&$:;
K&4H)%A, :;;:;G
:;
L)'#:J$:;
9NK5A
3
OP
Q
7
RSRS
9NKA5A
3
7
9:3JH:7:)!%"$H:;) 9M34 H
V
T
V
T
U
U
WXYZ[\
OP
Q
RSRS
V
V
T
U
T
9NKCB
3
7
Q
OP
RSRS
U
W]^_[_`W]
V
T
U
V
ZZ\aab_cd
9NKCBF
3
7
Q
OP
RSRS
T
U
V
!"#$%!&$&%'())$
*)+,%-.%/%01%2 +)34)%5--6%
T
WXYZ[e
U
T
WXYZ[e
V
T
U
V
ZZ\aabccd
01234501234650177458
U
V
ZZ\aab_cf
9NKCB%+&:&;$
3
Q
OP
7
RSRS
WXYZ[\
U
T
WXYZ[e
9
0
5%7%06
012304467897
789
997!7"#8
6315AT253*561;*=006315:*@14*0311)8)213)*
$778%7
&89'9
()*+,-.+//0**0123)**3*4561037**8963100
6766:*;3)0*7*62<*=1939371368*046>583)*490*?
2956;=*658*126@63150AB)*590*=606C*5*
=1=*D*0031@14:56315*6@*4*533)*+,-.+//909?
6E*0930565*22*@37*193934*=65@*417*4*5312
3;11=*012468539=*65=1;*F9*0@*53@9*53A()*0*
*896310@6517=*1@615@6=*896315*456358
3)*=03:93151:*406001@63*=;3)058*153*896?
315A()*71368*06766:*61;3)*+,-.+//31:*90*=5
18@0E03*4050394*536315GH65=13)*01=0363*
**@315@*F94*53A
()*+,-.+//06766:*53)*603@(I?JKLM6@>68*
3)*603@NI?.K,M6@>68*65=6@)0C*=6@>68*K.?
O944@1N,PM9058Q63156R04@1N,P6@>68*3*@)?
5118EAB3)6=*F963*)*6305>583)**89631@65=*7*
419393@9*53AS9*53435805@9=*=31433)*
*6>19393@9*53316062*769*AN62*6*613*@31521
3)*1939336500310017=*=314353*561;*=00?
)*6305>5817=*=3)*3)*460)93=1;5@@9336>*017*
*7*53583)*TS21417*)*6358A
U7#87
V +,-.+W54@1N,P6@>68*
39371368*31*65@*012XWY17*3)*3*4*639*
V I9
658*
V I9393@9*53124
V T53*563)*4617*16=13*@315
V I93933650031062*6*613*@315
V T53*560)13@@93@9*5343
66:*5603@(I?J65=603@NI?.1;12*
V 7
6@>68*0
V Q1*<3*56@1415*530
V I939371368*012WAZ[AZ.AZJAZZWZ
V N**Q?214@1N,P@150=*63150
\7&9%9"8
3b#'9&861%
6]3
9&^1_
^`88abcd_
'e97a
--
'e97a --
^b#'69c7%a_
9&861%18h9"]8979
^]fg_
9&&h"7^i_
be97a
012304467897
789
997!7"#8
--j
'e97a
kQ63156N*4@15=9@31S116315 --
--jj
;;;A563156A@14
Q3-.4516@/R>6M51+6/D-<3-.45>./CQSTU/DQSVW
/CQST/B?/Q69:
/<1M.+/CY0
C+9=-.16@/86Z+.<451+6
/86Z.4.-=/+./H+6[-M51+6/WK/2-M\
/]4[-/C+9=-.16@/JK/2-M\
KGH/5+/JWBGH
946
0732
7277 7!72
77"57 #
S
X
K
GH/5+/JWBGH
47
2736
66$7%
63
4&73 563&
472'7
E
X
KGH/5+/TBGH
(26156323
!
4
146
27
632)
865-.6499:/;1<15-=
*+,-./012213451+6/7
WABGH
863>5/?+954@AB?
W
F
K
G
H/
9
4
=
/51<-
C5+.4@-/D-<3-.45>.EFBGH/5+/IJBKGH
LC0/C>2M-351N1915:/O
JP?
^9_`^aab476
4c$
67262 ;1<152/16/2546=4.=/5:3-Z4M-/4.-/Z+./D/d/WBGHU/e3467
7
472
3!76$776737
6677
657
736$7 67 f
7)/;1<152/4.-/@>4.465--=R/N:/3.+=>M51+6/5-2516@/+.
M+..-9451+6/5-Mg61h>-2/>216@/2546=4.=/C5451251M49/i>4915:/H+65.+9/CiH/<-5g+=2\/j69-22/+5g-.,12-/23-M1Z1-=k/8Q/d/XK<lU/H8mn
opqqrsU/HQmnmoptrs\
^9_
`^uv
j69-22/+5g-.,12-/23-M1Z1-=U/? /d/JK?
8w
x
767
&134
?Q
Q>53>5/?+954@-
€?Q
;16-/-@>9451+6
€?Q
;+4=/-@>9451+6
8i
€8i
i>1-2M-65/H>..-65
i>1-2M-65/H>..-65/Hg46@-
?6
Q>53>5/w+12-/?+954@1339-/-†-M51+6
8*ˆ
*-4P/Q>53>5/H>..-65
l[-.4@-/Q>53>5/?+954@-/D-<3M+
?8w/Y16
Y161<></?49>-/+Z/863>5/?+954@-h>1.-=/5+/Y4165416/;16-/-@>9451+6
Dg-.<49/-212546MTS‡><3/<1M.+/CY0
ŠRl
c3 632
/
{?/|/?8w/|/WK?
J<l/|/8Q/|/XK<l
}
J<l/|/8Q/|/{K<l
}
{?/|/?8w/|/WK?
T?/|/?8w/|/WK?
J<l/|/8Q/|/JKK<l
J<l/|/8Q/|/XK<l
/
T?/|/?8w/|/WK?
J<l/|/8Q/|/XK<l
Z/d/JK/ƒ„/5+/JKK/Pƒ„/
…
Z/d/JWK/ƒ„
T?/|/?8w/|/JF?
/
8Q/d/B<l
/
/
A
9
X\T
y
B
~)_v
/
v)v
~)_v
/
/
/
/
/
/
/
/
/
JT
JK
WK
B
A
/
/
XK
v)v
{B
BX
FK
AK
B
‚)u
u)‚
/
X{
/
/
FW
JXK
EK\FB
/
/
/
=‡
<l
<?‰GH
/
/
F\{
WAK\V
{
/
?
GH‰]
^9_`^aa&772
012345679
5
62
9
z62
B\W
?
<?
<l
r?
,,,\6451+649\M+<
01234350123437
891
71343877
!"#"$%&'(&))
1223456478922:4;<=>7<=?@A@?B4CD:4<;E
F295GG2D:C3H5D4IJ@K
LM26C324NH3O4PHDQR24S?I4T5U264PVMMRW
LM26C324EM4354X@Y4Z[H3>\
;U54]6H^26\4CD:4;U54F292H^26\
_AY?I4<DMV34̀2^2R\
`5U4PVMMRW4aV662D3JJJK4G=4;WMH9CR
]2\HQD2:4354[24<D3269OCDQ2C[R24NH3O
1C8HG41=b@A@
7P]4T653293H5D478922:\4c7P]4@@
d44@YYY?I4eVGCD?f5:W415:2R4g=XXh?=i
=MMRH9C3H5D\
;<=>7<=?@A@?B
fC3326W?T5U262:4PW\32G\
;26GHDCR\
15:2G\
a5GMV326\
1=b@A@JJJ]°4]N°4…°4LF4…P4T=a‡=†7
1=b@A@<JJJ]°4]N°4LF4…4T=a‡=†7
g;LT4I<7Ni
'!® ! !, $''
$® ) !- ¯Š%
'! . ! (!&(
')® !. !Š
') - !) !&(
$ , !! (!Š
()&( ! ()Š
)Š # " )&(
:2\96HM3H5D>56:26HDQ4HDj56GC3H5D
(klm+).)nopqrpsqtnultvtlwlnultxkpxnywsrqlopwpzpwnxnulu{sxp|l|lyltpx{tx{orzzs}~).)u{sxp|l
slulsot{€pony|sl-~$orzzs}pwktlwlnultw{yultxo~).)nyzrxox{-~$((v'm&slulso(klol
tlwlnultokpulpx}znwpsxktlok{sq{!.$pyqpx}znwpsk}oxltlono{-$0pyqwpypwwlzx_.~$nyzrxopwk
qtnultw{yultxo((v'm&nyzrxslulsonyx{~).)slulso(klqtnult0tlwlnult0pyqu{sxp|l~|lyltpx{t
rywxn{yoptlpupnsp‚slpowlssonyxkl(lƒpoyoxtr€lyxony'„sn‚tpt}
LF]7F<…†4<…BLF1=;<L…
LF]7F=f`7
;LT?P<]7
T=a‡=†7ˆ
T=F;4…E1f7F 1=F‡<…†
*%*‰Š‹ (r‚l
m+).)Š
m+).)Š
(
r
‚
l
m+)
.
)
%
&'
'‰
‰%‹ (pzlpyqtlls m+).)%
m+).)
'xx{
Œ'
{Œ'
r‚l
m+).)%
&'
'‰
‰%‹ (
m+).)
(pzlpyqtlls m+).)%
&*‰Š‹ (pzlpyqtlls m+).)Š
m+).)
*%*‰Š‹ (r‚l
m+).)Š
m+).)Š
r‚l
m+).)%
'‰
‰%‹ (
m+).)
Œ'x{#-Œ' &'
(pzlpyqtlls m+).)%
r‚l
m+).)%
&'
'‰
‰%‹ (
m+).)
(pzlpyqtlls m+).)%
Ž*pwp|lqtpny|o0oxpyqptqzpwny|‘rpyxnxnlo0xklt€psqpxp0o}€‚{sn’pxn{y0pyq*'qlon|y
|rnqlsnyloptlpupnsp‚slpxxnw{€vowvzpwp|l
;=
*slpol‚lpptlxkpxpyn€z{txpyxy{xnwlw{ywltyny|pupnsp‚nsnx}0oxpyqptqpttpyx}0pyqrolnywtnxnwpspzzsnwpxn{yo{
(lƒpoyoxtr€lyxool€nw{yqrwx{tzt{qrwxopyqqnowspn€ltoxkltlx{pzzlptopxxkllyq{xknoqpxpokllx
ny'nopxtpql€pt{(lƒpoyoxtr€lyxo
'{z}tn|kx“))0(lƒpoyoxtr€lyxoyw{tz{tpxlq
”
9Ÿ –¡7›•—
1–Ÿ›1 ˜¤™£š›¡œ˜šž
ž ››šš–¤£¢ªž¥ ¦˜¡7™ž Ÿ˜Ÿ›œ™¢£
§ž™ŸŸ£ ¨
”
œ›•8
§¢¡
™š›œ8
˜¡žŸŸ˜˜››™™ ˜ ¤£¡œ¢Ÿ©œœ££™Ÿ£Ÿœ Ÿ
ž
™
§
ž
œ
§
«ž
œ
œ
ž
™
Ÿ
¬
¨
”
œ
›
§
¢
¡
Ÿ
˜
›
™
¤
œ
›
¡
£
˜
™
­
§
›
£
™
›
Ÿ
™
£
¡
£
ž
œ
˜
¦
¬
˜
™
¡
¦¢§£
Ÿ£ Ÿ˜™­›šž¦¦¤žœž£Ÿ£œ ¨
/
*&(&'&+,--..%0(+-),-
!
01234350123437
891
71343877
!"#"$%&'(&))
<7U648V6:UW:X8YZ7>656[=8<7U64\
1234567389:;<=>
?@AB8CDEF?D
EGHI9 JI9HI9
9EG 9JI9
K
K
KLMNOMPQRQP0LPST
PQRQP
?@AB8D?A?EF?D
EGHI9 JI9HI9
DEG DJI9
K
K
KLMNOMPQRQP0LPST
PQRQP
9]EG ]]
9^EG ]_
]a 9]JI9
b 9^JI9
D]JI9 ]^
D^JI9 `
)
]c D]EG
d D^EG
/
*&(&'&+,--..%0(+-),-
01234350123437
891
71343877
!"#"$%&'(&))
123456789:1;<:6:9=17<>?394@8=94A8=17<>?9B=88C1<=978:A8=176=89=1>?89D6>5833947E8=F<389>478GHI
JKLMNLKKOPQROMSTUVSJTU0$''WNUUXRMU!YZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ*$MR-$
+RN[M[QURLMKLMNLKKOPQROMSTUVSJTU0$\ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ$''Z*$MR!.$
XUTSM[QURLMKLMNLKKOPQROMSTUVSJTU0$ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ*$MR!.$
JKLMQROMSTUVSJTU0$]%V[QUVZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ*$MR$''\Z*$
U^U[QUVZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ_*$
&LMKLMQROMSTUVSJTU0$&](!&(0()&(ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ$Z*$MR$\\Z*$
!&(0)&(ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ*$MR$ \Z*$
`RVMa^[V^L[MbLVSM[RJ](!&(0()&(ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ''
ZJO[c[MUb
+S^dSTUM̀UVcSO[cKUbSJ^U0efWNUUXRMU)Y]%KS^dSTUZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ*g'hi
%iKS^dSTUZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ.g'hi
XKS^dSTUZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ-g'hi
XKS^dSTUZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ-g'hi
USbMUcKUVSMLVU!0-ccW!h!-[J^`YjVRc^SNUjRV!NU^RJbNZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ)-g'
MRVSTUMUcKUVSMLVUVSJTU0(NMTZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ-.g'MR!.g'
kMVUNNUNlUPRJbM̀RNUO[NMUbLJbUVmSlNROLMUcSn[cLcVSM[JTNocSP^SLNUKUVcSJUJMbScSTUMRM̀UbUQ[^UZ(`UNUSVUNMVUNNVSM[JTNRJOP0SJb
jLJ^M[RJSORKUVSM[RJRjM̀UbUQ[^USMM̀UNURVSJPRM̀UV^RJb[M[RJNlUPRJbM̀RNU[Jb[^SMUbLJbUVmVU^RccUJbUbRKUVSM[JT^RJb[M[RJNo[NJRM
[cKO[UbZnKRNLVUMRSlNROLMUacSn[cLcaVSMUb^RJb[M[RJNjRVUnMUJbUbKUV[RbNcSPSjjU^MbUQ[^UVUO[Sl[O[MPZ
X&(!]OOQROMSTUQSOLUNSVUp[M̀VUNKU^MMRJUMpRVdTVRLJbMUVc[JSOZ
)Z(`UKS^dSTUM̀UVcSO[cKUbSJ^U[N^SO^LOSMUb[JS^^RVbSJ^Up[M̀f%.!aZ
=8q4::8>G8G94A8=17<>?9q4>G<7<4>3
$''
$z
$
!X0)X
(
LKKOPQROMSTU
z[T`aOUQUO[JKLMQROMSTUW(!X0()XY
RpaOUQUO[JKLMQROMSTUW(!X0()XY
U^U[QUV[JKLMQROMSTU
&KUVSM[JTj
TjVUUS
UaS[VMUcKUVSMLVU
{,)*)
{,)*)
rst tur rvw xtsy
Z. . .Z. $
)
$
Z# $
_* $
g'
#.
8
q7=<=q11756=
9q8E1
=1q8783=3<9347
7<qE8
39=4@
=8q84::8
G98=19}>?8
39~<
4B?6=
936AA5
7858:A8
9D6>5
F<388=99>47
GH9D38>G8
89t47
91>G9
89H|9@4571?891>G94A8=17<>?9B=88C1<=
€vvr‚y‚
'' LKKOP^LVVUJM
‰OOMPK[^SOQSOLUNSVUSM$''ˆ.$SJb(ˆ).g'Z
X&(*](UNM^RJb[M[RJNSVU'!'ˆ!ŠSM$''ˆ.$_Z.$Z
y‚ƒy9„ut…sysutƒ
rst y†€‡ rvw xtsy
$''ˆ.Z.$0 OORLMKLMNRKUJ0
# ! c
(ˆ).g'
/
+&(&'&,-..**%0(,.)-.
*
!"#$!%#$&%#
wxyz{|}~€‚yƒ€„…†}xyƒ{‡}ˆ‰Š‹}‰{ŒŽŒŽ|‡Ž„
}{‡‚}|€|Ž€‡‡}‘„Œ‚|ŽŽ’“
'()*+,-./-0123,456478
9:8;6<=<>89?@A235BC
9;88D;7E66;
9FG=
8=H8<;7I
9687;J=687;=K;6GL==8<<6?9=<
9M<6H98=6<;8D7;69<N
L6<;8D7;69<<677O7;E87
<
6P,3(1Q4R(3-/3/2S-/QQ,-4,1ST-,U8
9;8=O;89<76;989;69<N
V876<696;85BC9<76;98>7;98
76E8;=;9WXY
Z9H9H86;8FIBC9<76;98
[O;8:<76;98<D98;>9878<;<=
8<9;98<
9V9H8<G6?9=
9V898??EHIDD<9V:K\
95D;6O=5<;8@8]D;6
9^;7
=96?8H6;
6==;9<76;98>98
86E98;69
9Z9HG9;898??6
9@687D6;86V898??6_`G6;H96<a
9V898??E79=89;7;69
9\89H9D;K<;
9V9H89K<;
9V9H8D6?8=:<76;98;8;D6?8
9^6=98;6G9;8`23MMba
9=D<;86=O;==;?8;D88
9B6
[=D87c<
][[VK:57N
X33J333H86;c<
=D87
XJ333J333H86;[[VK:5=D87
38=;8;;69
j,k(3,
V@Xhch2uL
V@Xhch2FL
V@XhchFL
-/)-104 j1S14R,0/-l
R,0/-l
Q1U* 465#R4 tt5mR4
ne/-sUp nrlS,Up nrlS,Up
X32
22
X2F
23F
22
X2F
34h
2Mh
2Mh
02334567897
67
997
d/e+/e,-4,1ST-,U8
9;=E@D88;N
X33Lf23bJ;67
9:8;6@D88;N
X2gLf2IBCJ23bJ;67
X23gLfX5BCJ23bJ;67
9^;7
=96?8@D88;N
XgLf23bJ;67
96?8X:<76;98@D88;N
X2gLf2IBCJ23bJ;67
9D<=;8:<76;98N
KD;6?<7;EE;H5BC=
FIBC
g<HID>89?J3bJ;67
,-(P*,-1Q4,1ST-,U8
9Xh]:6<H6;
6=6G6=D=687;6979;89
9B6
7D88;<6I]<9D87>98=687;Z[=86G
9L979?8;98?9=DH6;
N
H9979?8;98<
V898??E97
6G9;8>87
`bK[ca?9=D
7;E6;898O;88>87
@9?8;989D;D;<8O;877<<6E
96?83NFE6;;6?8]79D;8H6;
FE6;
898??E8<78
96?8XNXhE6;;6?8]79D;8H6;
O;878<;]
797I7E66;
96?82NFE6;;6?8]79D;8H6;
FE6;869=
86<;8J8<78=9<;<78
9@;D8J@9?8JV^5?9=DN
XhE6;@;D8]@9?8
X3E6;V^5
9L==8<<Ei6G8<7
899D<]L<7
899D<
K76G8]8<?6;;8iLK]@
76#5q /0P1-1S/-U q(0,-U
$m noRp
%$+r(S
Xh
Xh
Xh
X
X
X
v
v
v
2
2
2
2]X
2]X
2]X
33
0123454678946
894
8
0&'()&*+,*-.
0)105(6712
89:9@:A8EF
893:9@3:<C/
89:<>$:<C
892:<=8:ACC
A
A
8B:$@
8B/:8D:
8B:D:<>
8B3:<<C/
/
3
2
1
4
0
/
0123454678946
894
8
89:<>$:<C
893:9@3:<C/
89:9@:A8EF
89/:9@/
89:9@
(6
G0&'(H5I
892:<=8:ACC
@<
A
@<
A
@<
8B:$@
0
4
1
2 @<
3
@<
0123454678946
894
8
89/:9@/
89:9@
894:;</:<=>$@
891:;<:<=>;?
A
8B4:/;$:C
8B1:/;;:/<>$:C<
8B2
8B:C
/
/
3 0123454678946
8/
0123454
8 /0 @<
/4
2
1
/1
4
/2
0
8B/:8D:
8B:D:<> 8B3:<<C/ /
@< //
8B:C /
8B2 /3
@< /
89/:9@/
89:9@
894:;</:<=>$@
891:;<:<=>;?
A
A
8B4:/;$:C
8B1:/;;:/<>$:C<
8B2
8B:C
/0
/4
/1
/2
/
/3
/
//
/
/
/0
/4
/1
/2
/
/3
/
//
6670
/
3
2
1
4
0
678946
894
8
89:9@:A8EF
893:9@3:<C/
89:<>$:<C
892:<=8:ACC
A
8B:$@
8B/:8D:
8B:D:<>
8B3:<<C/
894:;</:<=>$@
891:;<:<=>;?
A
A
8B4:/;$:C
8B1:/;;:/<>$:C<
!"#$!%
0123454678946
894
8
51'()*+,-3.
/0122+318')84+++
A#=
B?
?$
B? D@=
%"=<@<"F
%
"=<@:<
T"
G"<#
SGUGHV%W
SGUGHVSO!
!"?
"<"
C
B?G@"<
<D@=
EHI#<J
KC;<L
FM
A#
F=<=
E
FMM::KL M::OP
::<
<M:: N
E %"M:
AFF
<<@=F
E
BR@
!?
S=
<<@##!<?
BF
R=<"
Q!<?:
DR"@<
F=<
H
R
#<"
BX
??
C
E
OP
MHO
QF
BSF!M
BSF!D
FMUMW
FMUMW
FMUMWUXF[A
FMCUMWCUGB
FMU!GVUGB
FMZUGHFUXBB
FM\USGUGHVSO!
FMNUSGUGHV%W
FDU%W!
FDUFPU!
FDU!PUGV
FDCUGGB
FDUB
FDZ
FD\U!SSU!GV%UBG
FDNU!S%UB
GHF XYX
G?<
!?
!?
XF[A
GGB
OMF!
!?
<[[BFS
5678 3. 9 :;<=>?<<<@==<&
! "#$%"&
! "#$%"&$%'&$
($)*+,-."/ ! "#$%"&$%'&$,012(,3+45(10
0678
CD3EDF3
!9:;<=>: :?9<,(@?8 19<?9<,(@?8
38A;B=?<=>:
CD3
G5H I6J687K69EH98K
DF3
DF
L
D979M8K986NK
CDEDF
CD
G5H I6J687K69EH98K
DF
DF
L
D979M8K986NK
CD2
G5H I6J687K69EH98K
CD2EDF2EOCPQ
DF2
DF
L
D979M8K986NK
OCPQ
L
DF OCPQ9NKNK
CDEDFEG5R
CD
G5H I6J687K69EH98K
DF
DF
L
D979M8K986NK
G5R
L
G5H G9M8K989NKNK
CDE3GSEG5R2
CD
H I6J687K69EH98K
3GS
L
6M83797T6NK
G5R2
L
H G9M8K9829NKNK
CDU
L
NK98K
CDUE5GVCEORR
5GVC
L
5WK878X
79Y6N8JW5GVCZK
6W
66W7K6[9\CWKK9K
J[67
O9K95GVCEORRMNWK9K]7JO
JN8698MJ[6798K69
L
L
R898MM6[9K6NK
ORR
CD^EHG2EGVSH_
CD^
G5H I6J687K69EH98K
HG2
L
`DV HW76K9878WK9NKNKG97KWK978WK
988W9K986G8WKHW76K98M9J
GVSH_
L
G5H CGEFHGM9JZHG2679NKNK
GVSH_Z\
67
WEK
Y8aN79Y
HG
CDbEHGEGVSF
CDb
G5H I6J687K69EH98K
HG `DV
L
HW76K9878WK6NK
GVSF
L
P]K8797TW9N876NKCGc6W66
CI3EF
CI3
V
G5H I6J687K69EH98KGcW9YK\8
898MMJY986K8\TNN
F
L
P]K86K88NK
CIEC`E
CI
V
G5H I6J687K69EH98KGcW9YK\8
898MMJY986K8\TNN
C`
L
_DC876[6
G5H 7
899NWJKEH
CI2E`EGS
CI2
V
G5H I6J687K69EH98KGcW9YK\8
898MMJY986K8\TNN
`
L
G5H _DCK8WM6K6
GS
G5H 7
899NW797TEH
CIEGGR
CI
V
G5H I6J687K69EH98KGcW9YK\8
898MMJY986K8\TNN
GGR
G5H GKN8EG9M8ERX5EH
*8d8:e/,,,H fHNKNK
G5H f G5HHNKNK
R fR9\8
L fF9KNWJ
f NK
f7
M6KK868NK
V fVNK
H f H86HNKNK
DFfD9
02334567897
67
997
33
0123454678946
894
8
C8DEFGHI6J 0123454678946
894
8G01KLMCGNFO2P10C1LKGQ2LKC1KMFNR
KSTU
'()*
5VWXYZ[W 1W\VYGC]\U LVY\VYGC]\U
NU^X_Z\YZ[W
'(
!!+
,- (./"#%)-/&%"/0/"" "&
,"123/455.3"/"#
460##0&
*
!
7
+48#/55""0/"&9 "
#48#/55"2"1#.:/
"/0/"" "".460##0
22/.21#.&
'(;
'(;
!!+
,- (./"#%)-/&%"/0/"" "&
,"123/455.3"/"#
460##0&
'(<)!--)!,=%)*, '(<
!!+
,- (./"#%)-/&%"/0/"" "&
,"123/455.3"/"#
460##0&
!-7
>!?+ !52##/0/0/
!,=%
!
7
!5#6"0/
*,
!
7
%,*@55"#6
'(A)!-%)*
'(A
!!+
,- (./"#%)-/&%"/0/"" "&
,"123/455.3"/"#
460##0&
!-% >!?+
7
!52##/"0/
*
!
,- %,*./%)B
*4
7
0".3"3#".%)-"2
B
B
B
*4
7
*2/820#$3#".%)-"2
EU`UWaJGGG- b-0/0/
,- b ,--0/0/
* b*4
7 bc/02.
% b %"0/
!b5//!%"0/
!!+ b!!+%"0/
- b -""-0/0/
?cb?"#
! "#$%"&
Technical Data Sheet
UM-005
UM005-doc-01.04
In reference to UM005-c-01.04
Contents
Contents...................................................................................................................................... 2
Introductions............................................................................................................................... 3
Specifications ............................................................................................................................. 3
Pin description............................................................................................................................ 4
Connection diagram ................................................................................................................... 4
Module PCB dimensions............................................................................................................ 5
Frame format for serial transmission ......................................................................................... 5
CRC value calculation................................................................................................................ 6
Unique transponder description ................................................................................................. 7
2
Introduction
The UM-005 module operates on principle of the contact less unique data acquiring from
UNIQUE (RFID) transponders. Read-out data is sent via RS-232 interface with voltage levels
TTL format compatible.
The principle of module operation:
Applying the transponder to reader – read-out (from transponder) – data transmission (to
master unit).
RF energy
UM-005
module
Read-out
The received response is:
Module address Frame width
01
0b
Response
01
Byte
no.
1
2
3
4
5
6
8
Unique transponder
ID1 (8 bits)
ID2 (8 bits)
ID3 (8 bits)
ID4 (8 bits)
ID5 (8 bits)
Parity 1 (8 bits)
Parity 2 (6 bits)
Operation code CRCH,CRCL
ff
xx xx
ID1…ID5
ID
The module is equipped with two outputs, which signal successful read-out of the
transponder.
Connect the antenna to UM-005 module in form of air coil, which will produce
electromagnetic field and supply the transponder located in this field.
Dane techniczne
Supply voltage Vdd: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.5...5.5V
Supply current: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5...55 mA
Module rated operating radio frequency: . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 kHz
Modulation type of data received from transponder:. . . . . . . . . . . . . . . . . . . Manchester
Baud rate of data received from transponder: . . . . . . . . . . . . . . . . . . . . . . . . RF/64 (1953 b/s)
Maximum read-out frequency: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 read-outs/sec
Output current capacity buzzer, LED and RS-TX: . . . . . . . . . . . . . . . . . . . . 5 mA
Transponder read-out distance (depending on used antenna): . . . . . . . . . . . . up to 12 cm
Antenna inductance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 mH +-5%
RS232 transmission: . . . . . . . . . . . .9600 b/s, 8 data bits, 1 stop bit, no parity bit, with voltage
levels TTL format compatible.
3
Pin description
antenna1, antenna2 . . . . . . . . . external antenna with inductance of ca 1 mH
supply earth and supply 5V
module supply
output O2 . . . . . . . . . . . . . . .
after successful transponder read-out, the reader activates
external buzzer for ca. 100 ms (active H)
output O1 . . . . . . . . . . . . . . . . . interrupt or LED – after successful transponder read-out, the
reader sets output in logical one state for ca. 400 ms
(interrupt triggering with rise slope)
port earth . . . . . . . . . . . . . . . . earth of O1 and O2
earth of RS interface. . . . . . . . earth of RS-TX
output RS-TX. . . . . . . . . . . . . . after successful transponder read-out, the reader sends data
via RS with TTL voltage levels
NC . . . . . . . . . . . . . . . . . . . . . Non connected
antenna 1
antenna 2
earth supply
supply 5V
O
O
O
O
O output O2 (buzzer)
O output O1 (interrupt or LED)
UM-005
O port earth
37 x 18 mm
O earth of RS interface
O RS-TX (output)
O NC
Pin assignment element side view
Connection diagram
+5V
O antenna 1
O antenna 2
O earth
O supply 5V
buzzer O
LED O
ports earth O
RS interface earth O
RS-TX (output) O
O
to host
4
Module PCB dimensions
Frame format for serial transmission
Module address
Frame
width
Response
Data
Operation code
CRCH
CRCL
1 byte
1 byte
1 byte
n bytes
1 byte
1 byte
1 byte
Where during read-out from transponder:
Module address - 0x01 always
Frame width – total number of response frame bytes = 0x0b
Response - 0x01
Data - ID1...5 – transponder ID (5 bytes)
Operation code – 0xff
CRCH, CRCL - CRC16 MSByte and LSByte respectively
During ca. 1 sec, after turning on the power, UM-005 reader sends software version number.
This number is encoded in compliance with transmission format mentioned above, by the
same time:
Frame width – total number of response frame bytes
Response = 0xff
Data – number of software version number written in ASCII code.
The reader can be tested with free of
charge FRAMER software tool, which
makes work with frames easier.
5
CRC value calculation
The CRC value is calculated from equation x^16+x^12+x^5+1 with initial value equal to
0x0000. This value is calculated in virtue of all the bytes except of CRCH and CRCL.
Example of calculation of CRC value, written in C language:
void LiczCRC2(unsigned char *ZAdr, unsigned short *DoAdr, unsigned char Ile)
{
int i,NrBajtu;
unsigned short C;
*DoAdr=0;
for (NrBajtu=1;NrBajtu<=Ile;NrBajtu++,ZAdr++)
{
C=((*DoAdr>>8)^*ZAdr)<<8;
for (i=0;i<8;i++)
if (C&0x8000) C=(C<<1)^0x1021;
else C=C<<1;
*DoAdr=C^(*DoAdr<<8);
}
}
where:
*Zadr
Ile
*DoAdr
- the data first byte flag
- number of bytes used for calculations the CRC value (in this case the number
is equal to 5)
- flag of calculated CRC
6
Unique transponder description
The Unique transponder (EM Microelectronic standard – Marin SA, H4102) comprises 5
bytes with laser written unique ID number. Correctness of read-out data process is protected
with parities written in 2 subsequent bytes. It gives 40 bytes of unique ID number. Owing to
the UM-005 reader, the transponder reads the ID number, verifies read-out correctness
automatically and next sends this number to master unit via serial interface port.
Byte
no.
1
2
3
4
5
6
8
Unique transponder
ID1 (8 bits)
ID2 (8 bits)
ID3 (8 bits)
ID4 (8 bits)
ID5 (8 bits)
Parity 1 (8 bits)
Parity 2 (6 bits)
Overview of latest NETRONIX products is available on website:
http://www.netronix.pl/
7

Documentos relacionados