Cronômetro Digital de Dois Dígitos para Aplicações no

Transcrição

Cronômetro Digital de Dois Dígitos para Aplicações no
UNIVERSIDADE FEDERAL DA BAHIA – ESCOLA POLITÉCNICA – DEPARTAMENTO DE ENGENHARIA ELÉTRICA
1
Cronômetro Digital de Dois Dígitos para
Aplicações no Ensino de Sistemas Digitais
F. L. Santos, I. V. V, Alves, and T. S. Lourenço
Universidade Federal da Bahia
Abstract — This report brings a simple project for
implementation of a digital chronometer based-on static logic
with logic gates and flip-flops. It will show how it was modeling
this system, as well as verifying its consistence and we’ll make
some tests to prove its efficient. In the end we’ll show the circuit
built in a protoboard.
Keywords — Digital Chronometer. Digital Circuits. Sequential
Circuits. Combinational Circuits.
I. INTRODUÇÃO
D
esde o surgimento da eletrônica digital diversos
problemas cotidianos puderam ser resolvidos de forma
rápida, eficiente e, de certa forma, lógica. Através da
miniaturização dos componentes foi possível integrar muitos
elementos em um mesmo chip, denominado circuito
integrado.
A utilização dos circuitos integrados permitiu a criação de
unidades lógicas e aritméticas facilitando cálculos nas áreas de
engenharia, física e computação, por exemplo. Os primeiros
circuitos digitais eram formados estritamente por portas
lógicas que designam uma lógica combinacional, onde a saída
é função exclusiva da entrada e as características de
sequências temporais de resposta são inexistentes, o que não
permitia, por exemplo, o armazenamento de dados. Em 1919,
Willian Eccles e F. W. Jordan criaram o menor elemento de
memória de um circuito digital, trata-se do flip-flop. A partir
de agora, os circuitos não só retornam valores que dependem
das entradas, mas também de estados anteriores, ou seja, da
sequência temporal. Estes circuitos possuem lógica
sequencial.
Este trabalho relatará o projeto de concepção de um
cronômetro digital de dois dígitos, baseado em lógica fixa1
sequencial e combinacional a fim de aplicar os conhecimentos
apreendidos sobre Sistemas Lógicos, o que facilitou desta
maneira o processo de ensino e aprendizagem.
A organização do trabalho é a segue: na Seção II,
apresentaremos o problema inicial, elaborando mecanismos de
1
Um circuito digital é dito de lógica fixa quando o seu hardware permite a
realização de uma só tarefa, se quisermos alterá-la ou adicionar outra,
deveremos construir outro circuito ou readaptá-lo.
resolução para que finalmente o sistema seja modelado e
projetado. Em seguida, na Seção III, será feita uma análise
computacional para simulação do funcionamento do circuito
com auxílio da ferramenta Multisim. A implementação do
projeto numa plataforma física de testes (protoboard) será
apresentada na Seção IV. Por fim, na Seção V, apresentaremos
os resultados e conclusões do trabalho.
II. MODELAGEM DO SISTEMA
Nesta Seção abordaremos numa visão down-top (de elementos
do sistema para o sistema) a modelagem e projeto do circuito.
A. O problema do tempo
Os circuitos digitais que utilizam lógica sequencial precisam
de uma base de tempo para funcionarem adequadamente. Em
nosso sistema, a base de tempo servirá também para a
contagem do próprio tempo, uma vez que ele é um sistema
cronométrico que contará os segundos. Diversas são as
alternativas para se gerar o chamado clock, que é um sinal
elétrico que servirá como base de tempo para o sistema, que
vão desde a utilização de um cristal de quartzo, passando por
um astável ou ainda um outro circuito digital, como um
microcontrolador. Devido a praticidade, economia e o não
requerimento de extrema precisão, utilizaremos o circuito
integrado NE555 na configuração de astável.
O circuito do 555 na configuração astável pode ser visto na
Figura 1.
6V
Vs
288.6kΩ
R1
VCC
RST
OUT
DIS
THR
577.2kΩ
R2
100Ω
Rl
TRI
CON
GND
1uF
C
10nF
Cf
555_VIRTUAL
Timer
Figura 1 - Circuito do CI 555 na configuração astável
UNIVERSIDADE FEDERAL DA BAHIA – ESCOLA POLITÉCNICA – DEPARTAMENTO DE ENGENHARIA ELÉTRICA
O cálculo dos valores a malha R-C (Resistor-Capacitor)
responsável pela geração da frequência de oscilação do astável
é dada pelas equações (1) e (2):
TH = 0,69 ⋅ ( R1 + R2 ) ⋅ C
TL = 0,69 ⋅ R2 ⋅ C
(1)
(2)
Onde:
• TH corresponde ao tempo em nível alto da forma de
onda e TL ao tempo em nível baixo.
• RA e RB são as resistências existentes na malha de
carga e descarga do capacitor;
• C é a capacitância do capacitor.
2
o sistema, é necessário informar ao usuário que o utilizará o
resultado da contagem de forma amigável e intuitiva. Existem
diversas formas que incluem desde LED’s e displays de 7
segmentos a displays LCD. Os LED’s informariam uma saída
em formato binário, o que não é trivial para o ser humano, ao
passo que um display LCD, embora elegante aumentaria muito
a complexidade do circuito. Utilizaremos então dois displays
de 7 segmentos.
Os displays de 7 segmentos possuem 7 LED’s arranjados
em formas de segmentos, nomeados de a (primeiro superior) a
g (segmento do meio) – seguindo o sentido horário. Isso
permite uma visualização decimal. A Figura 3 mostra o
aspecto físico de um display de 7 segmentos.
A forma de onda no capacitor e a gerada na saída do
circuito pode ser vista na Figura 2.
Figura 3 - Display de 7 segmentos
Figura 2 - Forma de onda no capacitor e na saída [2]
B. A contagem digital do tempo
O segundo problema que apresentamos se refere a como
transformar pulsos elétricos em ‘números’. Algo que possa ser
quantificado e posteriormente transformado em algo legível ao
usuário.
Apresentamos agora os circuitos contadores, que são
circuitos formados por flip-flops e portas lógicas e que podem
apresentar diversas topologias. São divididos em síncronos,
quando o sinal de clock atinge simultaneamente todos os flipflops do circuito e assíncronos, quando o clock é aplicado
apenas ao primeiro flip-flop, e o clock dos demais blocos é
função dos anteriores. Devido a simplicidade do sistema, o
contador assíncrono pode ser utilizado, uma vez que o
contador síncrono “é o mais completo contador, ele tem
condições de gerar qualquer tipo de seqüência binária, ou seja,
é um gerador de palavras e consequentemente de códigos
binários”[1].
Os contadores assíncronos podem ser ainda classificados
como contadores de pulso, de década, de 0 a N, crescentes,
decrescentes, etc. Utilizaremos o contador de década 7490,
pois conta de 0 a 9 (0000 a 1001, em binário). Como o
cronômetro exibirá de 0 a 99 segundos, serão necessários dois
destes.
C. A exibição do tempo
Embora possa ficar claro para o projetista o que ocorre com
Será necessário controlar 7 bits (1 para cada segmento do
display), no entanto, o contador nos retorna valores digitais em
formado de nibble (4 bits). Será necessária fazer então a
conversão entre os sistemas, processo conhecido como
decodificação.
Convém salientar, que como LED’s, os displays de 7
segmentos possuem polaridade. Um display é dito anodo
comum, quando todos os anodos dos LED’s estão interligados
ou catodo comum, quando todos os catodos estão interligados.
Utilizaremos o display catodo comum, o motivo da escolha
ficará claro posteriormente.
A decodificação pode ser feita como segue na Tabela 1. As
entradas QN do decodificador, representam as saídas dos
contadores (4 bits, de 0000 a 1001), e as saídas a, b, c, d, e, f e
g representam a saída do decodificador. Nível lógico 1
corresponde a 5V, ao passo que nível lógico 0, corresponde a
0V.
Tabela 1 - Tabela que mostra o processo de decodificação
Nº QD QC QB QA a b c d e f g
0
0
0
0
0
1 1 1 1 1 1 0
1
0
0
0
1
0 1 1 0 0 0 0
2
0
0
1
0
1 1 0 1 1 0 1
3
0
0
1
1
1 1 1 1 0 0 1
4
0
1
0
0
0 1 1 0 0 1 1
5
0
1
0
1
1 0 1 1 0 1 1
6
0
1
1
0
0 0 1 1 1 1 1
7
0
1
1
1
1 1 1 0 0 0 0
8
1
0
0
0
1 1 1 1 1 1 1
9
1
0
0
1
1 1 1 0 0 1 1
Para cada segmento existirá um circuito com portas lógicas o
UNIVERSIDADE FEDERAL DA BAHIA – ESCOLA POLITÉCNICA – DEPARTAMENTO DE ENGENHARIA ELÉTRICA
que tornaria o circuito final maior, mais complexo e com
maior potencialidade de falhas. A fim de simplificar o
trabalho, utilizaremos decodificadores prontos vendidos
comercialmente, como o circuito integrado 4511 (BCD para 7
Segmentos).
D. Sistema de Controle
Outro aspecto relevante a ser considerado é o de interação com
o usuário. Todo cronômetro que se preze possui botões de
INICIA/PARA (Start/Stop) e ZERA (Reset).
Alguns circuitos integrados possuem estas funcionalidades
embutidas, mas o 7490 possui apenas a segunda. Faz-se
necessário, então, construir um circuito capaz de iniciar e parar
o cronômetro a qualquer instante e zerá-lo, quando necessário.
Uma técnica útil é remover o sinal de clock quando quisermos
parar a contagem e reconectá-lo quando quisermos iniciar ou
reiniciar a contagem.
Os botões utilizados são do tipo push button, que fecha o
contato apenas quando o pressionamos. Este tipo de chave nos
trás um problema: como parar e iniciar o cronômetro (ou seja,
ligar e desligar o clock) se a chave não possui estados
estáveis?
Este problema pode ser resolvido com a utilização de um flipflop tipo D, que funcionará como um biestável acionado pela
chave. O circuito pode ser visto na Figura 4.
3
Seguindo a sua tabela verdade como mostra a Figura 6.
Figura 6 – Tabela verdade com funções de reset [5].
E. Visão geral do sistema
O diagrama da Figura 7 mostra o sistema em blocos.
VCC
9V
U1A
J3
6
SD1
O1
1
5
D1
~O1
2
3
Key = S
CP1
R19 4 CD1
1kΩ 7474
Q1
R21
10kΩ
BC547C
CLOCK IN
CLOCK OUT
R22
1kΩ
Figura 4- Parte do Circuito de Controle
Neste circuito, o coletor do transistor Q1(BC547) é ligado à
saída Q1 do flip-flop. Quando tivermos nível lógico ‘1’ na sua
saída, o transistor estará polarizado adequadamente e
conduzirá e cortará segundo o sinal de clock (gerado pelo
astável) na sua base (clock in). O sinal sairá no emissor (clock
out) que irá para o primeiro contador. Analogamente, ~Q1
estará em nível ‘0’, que conectado à entrada D1 fará alternar a
saída Q1 para nível ‘0’, assim que um pulso de clock (ou seja,
pressionando a chave) ocorrer. Lembrando-se que a saída Q1
do flip-flop D é a própria entrada, desde que haja transição de
subida em seu clock (CP1).
O circuito de reset utilizou as funcionalidades do CI 7490.
Figura 7 - Diagrama em Blocos do Sistema.
O circuito de controle ativa ou desativa o sinal de clock
proveniente do circuito astável (CI 555). O clock atinge o
primeiro contador (A, CI 7490), cuja saída está ligada ao
decodificador (CI 4511) do display que exibirá a unidade de
segundo. Quando o contador chegar a nove (ou seja, tivermos
1001 na saída), uma porta AND (CI 7408) cujas suas entradas
estão conectadas ao bit mais significativo (MSB) e menos
significativo (LSB) do primeiro contador, tem a sua saída
levada ao nível lógico ‘1’, que gerará o sinal de clock para o
segundo contador (B, CI 7490), que possui suas saídas ligadas
ao decodificador do display da dezena de segundo.
III. VERIFICAÇÃO E SIMULAÇÃO DO CIRCUITO
Figura 5 – Circuito de reset
Após o
projeto do
circuito
e
análise global
do
UNIVERSIDADE FEDERAL DA BAHIA – ESCOLA POLITÉCNICA – DEPARTAMENTO DE ENGENHARIA ELÉTRICA
4
comportamento e funcionamento do mesmo, partiu-se para a
simulação. O circuito foi reproduzido no Multisim versão 10.
De posse do comportamento esperado, verificaram-se as
possibilidades de entradas e retorno do sistema, onde o sistema
foi então validado.
A Figura 8 mostra o ambiente de simulação.
Figura 9 - Vista do circuito implementado em protoboard.
V. CONCLUSÃO
X2
VCC
9V
2.5 V
X1
U1A
9.019kΩ
R1
VCC
RST
OUT
D1
100Ω
Rl
TRI
CON
O1
1
~O1
2
2.5 V
CK
R20
100Ω
CP1
CK
CD1
U10
U3
4013BD_5V
A B C D E F G
A B C D E F G
GND
10nF
Cf
Q1
R21
10kΩ
R$ 0,50
R$ 0,80
R$ 32,30
VCC
BC547C
R11
270Ω
R12
270Ω
R13
270Ω
R14
R15
270Ω 270Ω
R16
270Ω
R17
270Ω
R1
270Ω
R2
270Ω
R3
270Ω
R4
270Ω
R5
270Ω
J1
OA
OB
OC
OD
OE
OF
OG
R19
1kΩ
U9
4511BT_5V
VCC
9V
U2
4511BT_5V
~EL
~BI
~LT
Key = S
13
12
11
10
9
15
14
R22
1kΩ
J3
Key = Space
VCC
Constatada a viabilidade, efetuou-se a compra dos
componentes montando o circuito numa placa de protótipos
(protoboard), como mostra a Figura 9.
R6
270Ω
9V
DA
DB
DC
DD
5nF
C
555_VIRTUAL
Timer
5
4
3
R$ 0,60
SD1
5
4
THR
721.5kΩ
R2
6
3
DIS
7
1
2
6
15
16
VI. APÊNDICE
A Figura 10 mostra o circuito completo do cronômetro.
13
12
11
10
9
15
14
14
R$ 5,00
R$ 8,00
R$ 2,00
R$ 2,00
R$ 2,00
R$ 1,50
R$ 1,40
R$ 0,20
R$ 0,20
R$ 2,80
R$ 0,80
R$ 2,50
R$ 2,00
OA
OB
OC
OD
OE
OF
OG
1
2
3
4
5
6
7
8
9
10
11
12
13
Total
DA
DB
DC
DD
Ítem
Tabela 2- Orçamento dos componentes
Preço
Qtde.
Descrição
Unitário
2
Display 7 seg. – C.C. R$ 2,50
2
CI 7490
R$ 4,00
1
CI 7474
R$ 2,00
1
CI 7408
R$ 2,00
2
CI 4511
R$ 2,00
1
CI 555
R$ 1,50
2
Push Button
R$ 0,70
1
Resistor 1kΩ
R$ 0,20
1
Resistor 100Ω
R$ 0,20
14
Resistor 270Ω
R$ 0,20
4
Resistor 1k2Ω
R$ 0,20
1
Potenciômetro 50kΩ R$ 2,50
1
Trimpot de 10kΩ
R$ 2,00
Capacitor Eletrolítico
1
R$ 0,60
1µ x 16V
1
Transistor BC547
R$ 0,50
2
LED 3mm vermelho
R$ 0,40
Total
~EL
~BI
~LT
Verificada a validade do funcionamento do circuito,
avaliou-se a sua viabilidade financeira através de um
orçamento inicial, como segue na Tabela 2.
5
4
3
IV. IMPLEMENTAÇÃO DO CIRCUITO EM PROTOBOARD
7
1
2
6
Figura 8 - Simulação do circuito no Multisim.
Este trabalho teve o objetivo de mostrar de forma clara e
objetiva o processo de concepção e implementação de um
cronômetro digital de dois dígitos com circuitos lógicos
combinacionais e sequenciais. Através deste projeto, foi
possível compreender os passos para criação de um projeto,
que vão desde a concepção, passando pela modelagem,
verificação, simulação e vão até a etapa de implementação e
testes.
Foi necessário o entendimento de uma forma apurada do
comportamento dos contadores, geração de clock e demais
assuntos vistos em disciplinas de Sistemas Lógicos e
Laboratório Integrado I do curso de Engenharia Elétrica da
UFBA. Aspectos positivos do trabalho envolvem a interação
entre alunos componentes da equipe, conhecimento de
materiais e reconhecimento de componentes, aplicação dos
conhecimentos adquiridos em sala de aula, dentre outros.
Aspectos negativos é o gasto com os materiais, que além dos
citados na Tabela 2, incluem também ferramentas como
alicates, protoboard, chaves de fenda, etc, que nem sempre
estão acessíveis facilmente para estudantes de uma instituição
pública gratuita.
U5
U4
9V
14
1
J2
2
3
Key = R
R18
1kΩ
6
7
INA
INB
R01
R02
QA
QB
QC
QD
14
1
12
9
8
11
R91
R92
INA
INB
2
3
R01
R02
6
7
R91
R92
QA
QB
QC
QD
12
9
8
11
7490N
7490N
U7A
7408J
U6A
7408J
U8A
7408J
Figura 10 – Circuito completo do cronômetro digital
R7
270Ω
UNIVERSIDADE FEDERAL DA BAHIA – ESCOLA POLITÉCNICA – DEPARTAMENTO DE ENGENHARIA ELÉTRICA
REFERÊNCIAS
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
Contadores binários. Disponível em:
<http://pt.wikipedia.org/wiki/Contadores_bin%C3%A1rios>. Acesso
em 19 de novembro de 2011.
CI 555. Disponível em:
<http://www.eletronica24h.com.br/CURSOAO/aparte3/aulas/Aula555_
01.html>. Acesso em 27 de novembro de 2011.
TOCCI, R.J. & WIDMER,N.S. Sistemas digitais: princípios e
aplicações. 8a ed, Prentice-Hall, 2003
DM7408: Quad 2-Input AND GATES. Disponível em:
<http://www.datasheetcatalog.org/datasheets/166/500074_DS.pdf>.
Acesso em: 23 de novembro de 2011.
DM7490: Decade and Binary Counter. Disponível em:
<http://www.datasheetcatalog.org/datasheets/50/231825_DS.pdf>.
Acesso em: 23 de novembro de 2011.
4511: BCD-to-7 Segment Latch/Decoder/Driver. Disponível em:
<http://www.datasheetcatalog.org/datasheets/320/239110_DS.pdf>.
Acesso em: 23 de novembro de 2011.
555: Single timer. Disponível em:
<http://www.datasheetcatalog.org/datasheet/fairchild/LM555.pdf>.
Acesso em: 23 de novembro de 2011.
7474: D Flip-Flop. Disponível em:
<http://www.datasheetcatalog.org/datasheets/208/496891_DS.pdf>.
Acesso em: 23 de novembro de 2011.
5

Documentos relacionados