inversor monofásico tipo ponte completa com controle - DEE

Transcrição

inversor monofásico tipo ponte completa com controle - DEE
UNIVERSIDADE FEDERAL DO CEARÁ
CENTRO DE TECNOLOGIA
DEPARTAMENTO DE ENGENHARIA ELÉTRICA
TRABALHO DE CONCLUSÃO DE CURSO
INVERSOR MONOFÁSICO TIPO PONTE COMPLETA COM CONTROLE DIGITAL
ISRAEL FRANKLIN DOURADO CARRAH
FORTALEZA
DEZEMBRO DE 2010
ii
ISRAEL FRANKLIN DOURADO CARRAH
INVERSOR MONOFÁSICO TIPO PONTE COMPLETA COM CONTROLE DIGITAL
Trabalho Final de Curso submetido à Universidade
Federal do Ceará como parte dos requisitos para
graduação em Engenharia Elétrica.
Orientador: Prof. Dr. René Pastor Torrico Bascopé.
Co-orientador: Eng. Luiz Daniel Santos Bezerra.
FORTALEZA
DEZEMBRO DE 2010
iii
ISRAEL FRANKLIN DOURADO CARRAH
INVERSOR MONOFÁSICO TIPO PONTE COMPLETA COM CONTROLE DIGITAL
Este trabalho foi julgado adequado para a obtenção do título de Graduado em
Engenharia Elétrica, Área de Concentração em Eletrônica de Potência e aprovado em sua forma
final pelo Programa de Graduação em Engenharia Elétrica da Universidade Federal do Ceará.
Israel Franklin Dourado Carrah
Banca Examinadora:
Prof. Dr. René Pastor Torrico Bascopé, Orientador
Prof. Dr. Francisco Kléber de Araújo Lima
Prof. PhD. Sérgio Daher
Fortaleza, Dezembro de 2010.
iv
“Os desistentes nunca vencem, e os
vencedores só são vencedores porque nunca
desistem.”
O Autor.
v
AGRADECIMENTOS
Acima de tudo quero agradecer a Deus, que me deu saúde e força para chegar até aqui
e por não ter me deixado desistir nas inúmeras vezes que assim pensei, pois durante os
últimos doze anos de minha vida tive que compartilhar o meu tempo diário entre trabalho e
estudo, e em especial neste último ano de faculdade, em que trabalhei numa empresa
durante o dia, em outra durante a noite, e me virava ao máximo como podia nos feriados e
finais de semana.
Quero agradecer também à minha mãe Fátima, por ter me orientado corretamente
nos meus primeiros anos de vida. Eu sou hoje o resultado de seus ensinamentos.
Ao Engenheiro Luiz Daniel S. Bezerra quero deixar a minha imensa gratidão, pois foi
uma pessoa que muito me ajudou nos últimos semestres do curso e quem me encorajou e
dividiu comigo ensinamentos valiosos para a realização desse trabalho.
Ao professor René Bascopé pela forma primordial de ensinar e aos colegas de turma
Gean Jacques, Levy Costa, Pedro André, Luís Paulo, Eduardo Façanha, Dalton Honório, Décio
Haramura, Carlos Alberto e Luís Fernando, com os quais por várias vezes dividi o sufoco de
projetos de final de disciplina e horas de estudo durante as madrugadas pela UFC.
A minha namorada Hellen e a meus familiares e amigos peço desculpas por ter sido
alguém tão ausente durante esses cinco anos. Tanto esforço foi necessário.
A todos que contribuíram direta ou indiretamente para a minha formação deixo os
meus sinceros agradecimentos.
vi
RESUMO
Resumo do Trabalho Final de Curso apresentado à Universidade Federal do Ceará como parte
dos requisitos para a obtenção do Grau de Engenheiro Eletricista.
INVERSOR MONOFÁSICO TIPO PONTE COMPLETA COM CONTROLE DIGITAL
ISRAEL FRANKLIN DOURADO CARRAH
Com o avanço da tecnologia e o surgimento de processadores digitais cada vez mais
potentes e mais baratos, as técnicas de controle discreto estão sendo cada vez mais
aplicadas nos conversores da Eletrônica de Potência. Na maioria dos casos, a redução
significativa de peso e volume e o barateio do equipamento são os principais motivos por
optar pelo controle digital. Esse projeto consiste em um inversor de tensão tipo ponte
completa com um filtro LC, utilizando modulação unipolar para obter a tensão senoidal
semelhante à da rede elétrica. O controle do conversor é realizado através de uma malha de
corrente e outra de tensão através do dsPIC30F2020 (modulador digital e controlador
digital), utilizando os princípios do controle discreto. Este sistema é capaz de converter
200Vcc em 110Vac e 60Hz, com eficiência igual ou superior a 90%. O inversor tem seu
estudo teórico desenvolvido e o dimensionamento de todos os seus componentes
apresentados. Ao final, são mostrados os resultados de simulação de diversas situações as
quais o inversor pode ser submetido.
Número de páginas: 187
Palavras-Chave: Processadores Digitais, Controle discreto, Eletrônica de Potência, Inversor
de Tensão, Modulação Unipolar, dsPIC30F2020.
vii
ABSTRACT
Abstract of the Final Course Work presented to the Universidade Federal do Ceará as part of
the requeriments for obtaining the degree of Electrical Engineer.
MONOPHASE INVERTER FULL BRIDGE TYPE WITH DIGITAL CONTROL
ISRAEL FRANKLIN DOURADO CARRAH
With the advance of technology and the emerging digital processors more powerful
and cheaper, discrete control techniques are being increasingly applied in Power electronics
converter. In most cases, the significant reduction of weight and volume and the price
reduction are the main reasons by opting for digital control. This project consist of the
voltage inverter full bridge type with an LC filter using unipolar modulation to obtain
sinusoidal voltage similar to the power grid. The converter control is accomplished through a
currente loop and an other of voltage across the dsPIC30F2020 (digital modulator and digital
controller), using the principles of the discrete control. This system is capable of converting
200Vdc into 110Vac and 60Hz with efficiency equal or greater than 90%. The inverter has its
theorethical study developed and design of all the components presented. In the end, are
shown the simulation results of various situations which the inverter can be submitted.
Number of pages: 187
Key-words: Digital Processors, Discrete Control, Power Electronics, Voltage Inverter, Unipolar
Modulation, dsPIC30F2020.
viii
SUMÁRIO
INTRODUÇÃO GERAL ............................................................................................................................ 1
CAPÍTULO 1: INVERSORES MONOFÁSICOS E PROCESSAMENTO DIGITAL – UMA REVISÃO ....... 5
1.1Introdução ......................................................................................................................... 5
1.2Topologias Básicas de Inversores Monofásicos ................................................................ 5
1.3 Tipos de Conversores CC-CA ............................................................................................ 9
1.4 Parâmetros de Desempenho de um Inversor ................................................................ 10
1.5 Drivers para Inversores .................................................................................................. 12
1.6 Controle Digital por DSP .................................................................................................14
1.6.1 Microprocessadores, Microcontroladores, DSP’s e DSC’s .....................................17
1.6.2 Processamento em Tempo Real .............................................................................20
1.6.3 Conversão A/D e D/A..............................................................................................21
1.6.4 Segurador de Ordem Zero ......................................................................................24
1.6.5 Efeito da Amostragem ............................................................................................25
1.6.6 Ponto Fixo e Ponto Flutuante .................................................................................25
1.6.7 Processamento Digital de Sinais.............................................................................26
1.6.8 Linguagem de Programação C ................................................................................28
1.7 DSP Utilizado .................................................................................................................. 29
1.8 Conclusão ....................................................................................................................... 31
CAPÍTULO 2: ESTUDO TEÓRICO DO INVERSOR MONOFÁSICO TIPO PONTE COMPLETA .......... 32
2.1 Introdução ...................................................................................................................... 32
2.2 Topologia do Inversor de Tensão sob Análise................................................................ 32
2.3 Estratégias de Modulação .............................................................................................. 35
2.4 Etapas de Operação ....................................................................................................... 38
2.4.1 SPWM Bipolar .........................................................................................................38
2.4.2 SPWM Unipolar ......................................................................................................41
ix
2.5 Princípio de Funcionamento do Modulador Discreto.................................................... 43
2.6 Características Estáticas do Inversor.............................................................................. 44
2.6.1 Filtro LC de Saída ....................................................................................................46
2.6.2 Indutância L ............................................................................................................46
2.6.3 Capacitância C ........................................................................................................48
2.7 Determinação dos Esforços nos Componentes do Inversor .......................................... 48
2.7.1 Interruptores S1 – S4 ..............................................................................................48
2.7.2 Diodos em Antiparalelo dos Interruptores S1 – S4 ................................................49
2.7.3 Esforços no Indutor L..............................................................................................50
2.7.4 Esforços no Capacitor C ..........................................................................................51
2.8 Modelagem Matemática do Inversor ............................................................................ 51
2.8.1 Malha de Tensão ......................................................................................................... 52
2.8.2 Compensador da Malha de Tensão ........................................................................57
2.8.3 Malha de Corrente .................................................................................................62
2.8.4 Compensador da Malha de Corrente .....................................................................63
2.9 Conclusão ....................................................................................................................... 64
CAPÍTULO 3: PROJETO DO INVERSOR .............................................................................................. 65
3.1 Introdução ...................................................................................................................... 65
3.2 Especificações Gerais do Projeto ................................................................................... 65
3.3 Cálculo dos Valores Eficazes, Médios, Máximos e Mínimos .......................................... 66
3.4 Determinação da Carga Linear Resistiva e Indutiva....................................................... 67
3.5 Dimensionamento do Estágio de Potência .................................................................... 68
3.5.1 Dimensionamento dos Interruptores de Potência.................................................68
3.5.2 Perdas nos MOSFETs ..............................................................................................71
3.5.3 Resistência Térmica do Dissipador .........................................................................71
3.6 Projeto do Filtro do Inversor .......................................................................................... 72
x
3.6.1 Projeto do Indutor ..................................................................................................72
3.6.2 Projeto do Capacitor...............................................................................................72
3.6.3 Especificações Construtivas do Indutor .................................................................74
3.7 Driver IR2110 .................................................................................................................. 78
3.8 Circuito de Amostragem da Tensão do Inversor Ponte Completa ................................ 81
3.9 Circuito de Amostragem da Corrente através do Indutor Filtro do Inversor ................ 85
3.10 Análise da Malha de Tensão ........................................................................................ 91
3.10.1 Compensador PID com Filtro para a Malha de Tensão ........................................95
3.10.2 Determinação do Período de Amostragem .........................................................99
3.10.3 Projeto do Compensador PID Discreto a partir do PID Analógico .....................100
3.11 Análise da Malha de Corrente.................................................................................... 104
3.11.1 Projeto de um Compensador PI com Filtro para a Malha de Corrente .............107
3.11.2 Projeto do Compensador PI Discreto a partir do PI Analógico ..........................111
3.12 Conclusão ................................................................................................................... 115
CAPÍTULO 4: RESULTADOS DE SIMULAÇÕES................................................................................. 116
4.1 Introdução .................................................................................................................... 116
4.2 Geração da Senóide de Referência .............................................................................. 116
4.3 Circuito de Amostragem da Tensão de Saída .............................................................. 118
4.4 Circuito de Amostragem da Corrente no Indutor ........................................................ 119
4.5 Sincronização da Tensão de Saída com um Clock Externo .......................................... 121
4.6 Circuito do Inversor em Malha Aberta......................................................................... 123
4.7 Circuito do Inversor em Malha Fechada ...................................................................... 126
4.8 Soft Start....................................................................................................................... 135
4.9 Taxa de Distorção Harmônica ...................................................................................... 136
4.10 Comparação entre Resultados Teóricos e Resultados de Simulação ........................ 137
4.11 Conclusão ................................................................................................................... 137
xi
CONCLUSÃO GERAL .......................................................................................................................... 139
REFERÊNCIAS BIBLIOGRÁFICAS ....................................................................................................... 141
APÊNDICE ........................................................................................................................................... 144
1. Introdução ...................................................................................................................... 144
2. Rotina de geração da Senóide de Referência ................................................................ 144
3. Rotinas de PID Desenvolvidas para o PSIM.................................................................... 150
xii
LISTA DE FIGURAS
Figura 1.1 – Circuito inversor push-pull ...................................................................................... 6
Figura 1.2 – Circuitos do inversor meia ponte. (a) Com dupla fonte CC e (b) Com fontes CC
obtidas a partir de divisores capacitivos .................................................................................... 6
Figura 1.3 – Circuito do inversor ponte completa ...................................................................... 7
Figura 1.4 – Componente fundamental e sua quinta harmônica ............................................. 10
Figura 1.5 – Tensão resultante da soma da componente fundamental com a sua quinta
harmônica .................................................................................................................................11
Figura 1.6(a)– Esquemático de ligação de um CI do tipo bootstrap: IR2110 ........................... 13
Figura 1.6(b)– Diagrama de blocos de um CI do tipo bootstrap: IR2110 .................................14
Figura 1.7 – Diagrama de blocos de uma conversão A/D ......................................................... 22
Figura 1.8 – Representação do sinal analógico e digital ........................................................... 22
Figura 1.9 – Diagrama de blocos da conversão A/D .................................................................23
Figura 1.10 – Conversor A/D de 4 bits ...................................................................................... 24
Figura 1.11 – Sinal contínuo e Sinal digitalizado....................................................................... 27
Figura 1.12 – Retificação e amplificação de um sinal de áudio ................................................ 27
Figura 1.13 – Pinagem do dsPIC 30F2020 com encapsulamento DIP ...................................... 30
Figura 2.1 – Diagrama esquemático do inversor tipo ponte completa .................................... 33
Figura 2.2 – Inversor tipo ponte completa com transformador elevador ............................... 34
Figura 2.3 – Inversor ponte completa com controle digital ..................................................... 35
Figura 2.4 – PWM senoidal bipolar ........................................................................................... 36
Figura 2.5 – PWM senoidal unipolar......................................................................................... 37
Figura 2.6 – Primeira etapa ....................................................................................................... 39
Figura 2.7 – Segunda etapa....................................................................................................... 39
Figura 2.8 – Forma de onda da tensão VAB e comando dos interruptores ............................. 40
Figura 2.9 – Primeira etapa ....................................................................................................... 41
xiii
Figura 2.10 – Segunda etapa .................................................................................................... 41
Figura 2.11 – Quarta etapa ....................................................................................................... 42
Figura 2.12 – Forma de onda da tensão VAB e comando dos interruptores ........................... 43
Figura 2.13(a) – Portadora triangular e sinal de controle sob o ponto de vista discreto......... 43
Figura 2.13(b) – Pulsos de comando para os interruptores ..................................................... 44
Figura 2.14 – Variação da razão cíclica média instantânea para meio período da tensão de
saída .......................................................................................................................................... 45
Figura 2.15 – Circuito simplificado do inversor de tensão ....................................................... 52
Figura 2.16 – Um braço do inversor visto como um conversor Buck ....................................... 52
Figura 2.17 – Conversor Buck com Carga ................................................................................. 53
Figura 2.18 – Circuito em Z1 e Z2 ............................................................................................. 53
Figura 2.19 – Função de transferência do sistema em malha aberta ...................................... 54
Figura 2.20 – Circuito equivalente do filtro de saída do inversor ............................................ 54
Figura 2.21– Sinal dente-de-serra e de controle ...................................................................... 55
Figura 2.22 – Diagrama de blocos da malha de tensão ............................................................ 56
Figura 2.23 – Diagrama de blocos da malha de tensão para uma carga puramente resistiva 56
Figura 2.24 – Diagrama de blocos do controlador PID clássico e dos possíveis PID discretos .58
Figura 2.25(a) – Diagrama de resposta em freqüência (Ganho) comparando o controlador
PID sob diversos formatos de aproximação, Kp = 0.5, Kd = 0.1, Ki = 0.2 e Ts = 0.2ms ............. 59
Figura 2.25 – Diagrama de resposta em freqüência (Fase) comparando o controlador PID sob
diversos formatos de aproximação, Kp = 0.5, Kd = 0.1, Ki = 0.2 e Ts = 0.2ms .......................... 60
Figura 2.26 – Saída do inversor mostrando a corrente I L ....................................................... 62
Figura 3.1 – Ábaco de seleção dos interruptores ..................................................................... 70
Figura 3.2 – Diagrama de Bode do filtro LC do inversor ........................................................... 73
Figura 3.3 – Gráfico AL x NI para o núcleo 77076A7 toroidal da magnetics ............................ 76
xiv
Figura 3.4 – Circuito diferencial de tensão utilizado para medição da tensão de saída do
inversor ..................................................................................................................................... 81
Figura 3.5 – Diagrama simplificado do circuito de medição diferencial com filtro e somador 82
Figura 3.6 – Resposta em freqüência do circuito diferencial projetado .................................. 85
Figura 3.7 – Circuito de amostragem da corrente através do indutor filtro do inversor ......... 86
Figura 3.8 – Diagrama simplificado do circuito de medição diferencial de corrente com filtro
e somador .................................................................................................................................87
Figura 3.9 – Diagrama de Bode da função de transferência H(s) ............................................. 90
Figura 3.10 – Características dos sinais ao longo do processo de conversão analógico – digital
.................................................................................................................................................90
Figura 3.11 – Diagrama de blocos do sistema de controle do inversor ................................... 91
Figura 3.13 – Resposta ao degrau unitário do sistema não compensado................................ 93
Figura 3.14 – Compensador de tensão adotado para o inversor ............................................. 94
Figura 3.15 – Diagrama de resposta em freqüência do compensador PID com filtro: ganho e
fase ............................................................................................................................................ 97
Figura 3.16 – Diagrama de resposta em freqüência do sistema compensado com PID com
filtro: ganho e fase .................................................................................................................... 98
Figura 3.17 – Resposta ao degrau unitário do sistema compensado....................................... 99
Figura 3.18 – Resposta em freqüência do PID sem filtro para A = 1 ...................................... 101
Figura 3.19 – Diagrama de Bode do PID sem filtro .................................................................102
Figura 3.20 – Resposta em freqüência da função de transferência de laço aberto para o PID
sem filtro .................................................................................................................................102
Figura 3.21 – Diagrama de blocos do controle do inversor, utilizando malha de corrente e
tensão ..................................................................................................................................... 104
Figura 3.22 - Diagrama de Bode do sistema não compensado de laço aberto ...................... 106
Figura 3.23 – Resposta ao degrau unitário do sistema não compensado de malha aberta .. 107
Figura 3.24 – Compensador de corrente adotado .................................................................108
xv
Figura 3.25 – Resposta em frequência do compensador de corrente adotado ..................... 109
Figura 3.26 – Resposta em freqüência do sistema compensado de laço aberto ................... 110
Figura 3.27 – Resposta ao Degrau Unitário do sistema compensado de malha fechada ...... 111
Figura 3.28 – Diagrama de bode do compensador PI sem filtro para A = 1 ........................... 112
Figura 3.29 – Diagrama de Bode do compensador PI sem filtro projetado ........................... 113
Figura 3.30 – Resposta em freqüência do sistema de laço aberto com compensador PI sem
filtro......................................................................................................................................... 113
Figura 4.1 – Esquemático utilizado para verificação da geração da senóide de referência .. 117
Figura 4.2 – Senóide de referência gerada internamente no dsPIC ....................................... 117
Figura 4.3 – Esquemático do circuito de amostragem da tensão de saída do inversor ......... 118
Figura 4.4 – Tensão de saída do circuito de amostragem da tensão do inversor .................. 118
Figura 4.5 – Para uma tensão de 0V na saída do inversor, temos 2,5V no pino do
microcontrolador .................................................................................................................... 119
Figura 4.6 – Saída do filtro para tensão nominal do inversor ................................................ 119
Figura 4.7 – Esquemático do circuito de amostragem da corrente ....................................... 120
Figura 4.8 – Corrente no indutor e tensão no pino do microcontrolador.............................. 120
Figura 4.9 – Tensão de 2,5V no pino do microcontrolador para uma corrente de 0A no
indutor .................................................................................................................................... 121
Figura 4.10 – Esquemático para validação da rotina de sincronização .................................. 121
Figura 4.11 – Senóide de referência ainda não sincronizada com o clock de entrada .......... 122
Figura 4.12 – Senóide de referência sincronizada com o clock de entrada ........................... 122
Figura 4.13 – Esquemático do inversor em malha aberta sem carga .................................... 123
Figura 4.14 – Tensão de saída do inversor em malha aberta ................................................. 124
Figura 4.15 – Resultados de simulação em malha aberta no PSIM ........................................ 124
Figura 4.16 – Tensão de saída com carga nominal linear ....................................................... 124
Figura 4.17 – Tensão eficaz de saída a malha aberta com carga resistiva nominal ............... 125
xvi
Figura 4.18 – Esquemático do inversor em malha aberta para carga não linear ................... 125
Figura 4.19 – Tensão de saída do inversor em malha aberta para carga não linear .............. 126
Figura 4.20 – Tensão eficaz de saída em malha aberta para carga não linear ....................... 126
Figura 4.21 – Esquemático completo do inversor monofásico tipo ponte completa com
controle digital ........................................................................................................................ 127
Figura 4.22 – Tensão de saída do inversor ponte completa com controle digital ................. 128
Figura 4.23 – Valor eficaz e valor médio da tensão de saída para carga resistiva nominal ... 128
Figura 4.24 – Corrente através do indutor para carga nominal resistiva ............................... 128
Figura 4.25 – Valor eficaz e valor médio da corrente no Indutor para carga nominal resistiva ......... 129
Figura 4.26 – Ondulação de corrente no indutor filtro do inversor ....................................... 129
Figura 4.27 – Ondulação de tensão no capacitor filtro de saída ............................................ 129
Figura 4.28 – Tensão sobre um interruptor do inversor num dado instante ......................... 130
Figura 4.29 – Corrente através de um interruptor .................................................................130
Figura 4.30 – Valor eficaz e valor médio sobre cada interruptor do inversor........................ 130
Figura 4.31 – Tensão de saída para carga resistiva RL = 200 ............................................... 131
Figura 4.32 – Tensão eficaz de saída para carga resistiva RL = 200 ..................................... 131
Figura 4.33 – Tensão de saída do inversor para carga resistiva RL = 2k .............................. 131
Figura 4.34 – Valor eficaz da tensão de saída para carga resistiva RL = 1k ......................... 131
Figura 4.35 – Circuito de potência do inversor com carga não linear .................................... 132
Figura 4.37 – Valor médio e valor eficaz da tensão de saída para carga não linear .............. 132
Figura 4.38 – Corrente de saída do inversor para uma carga tipo retificador com filtro
capacitivo ................................................................................................................................ 132
Figura 4.39 – Inversor de tensão com a saída curto-circuitada ............................................. 134
Figura 4.40 – Corrente através do indutor durante um curto-circuito .................................. 134
Figura 4.41 – Limitação da corrente em 15A para uma situação de curto-circuito na saída .135
Figura 4.42 – Pico de tensão no momento da partida do inversor ........................................ 135
xvii
Figura 4.43 – Inversor com partida suave .............................................................................. 136
Figura 4.44 – Taxa de distorção harmônica da saída do inversor .......................................... 136
xviii
LISTA DE TABELAS
Tabela 1 - Comparação entre as configurações de inversores de tensão monofásicos............ 8
Tabela 2 – Equações diferenciais e suas aproximações ........................................................... 58
Tabela 3 – Valores nominais máximos para o IR2110 .............................................................. 79
Tabela 4 – Valores recomendados de operação para o IR2110 ............................................... 80
Tabela 5 – Características elétricas dinâmicas para o IR2110 .................................................. 80
Tabela 6 – Definição da pinagem do IR2110 ............................................................................ 81
Tabela 7 – Valores dos parâmetros do compensador discreto (PID) no formato Q0.15 ....... 104
Tabela 8 – Valores dos parâmetros do compensador (PI) no formato Q0.15........................ 115
Tabela 9 – Comparação entre Resultados teóricos e Resultados de Simulação .................... 137
xix
SIMBOLOGIA
Símbolo
Significado
Unidade
µ
Permeabilidade magnética do núcleo
Wb/A/m
µ
permeabilidade magnética requerida para o núcleo
Wb/A/m
a
Relação de transformação no transformador Push Pull
-
A
Ganho do compensador PID
-
A/D
Conversor Analógico-Digital
-
Ac
Área da seção transversal do núcleo
mm2
Acu27
Área do cobre do fio AWG27
mm2
AL
Fator AL do núcleo magnético
nH/espira2
ANiINV
Relação de transformação do circuito de amostragem de corrente
-
Ap
Produto de áreas
cm4
At
Área da superfície
cm2
AW(B)
Área do fio desencapado necessário
mm2
Awnec
Área da janela necessária
cm2
Bmax
Densidade de fluxo máximo
Tesla
C
Capacitor filtro do inversor
Farads
CI(s)
Função de transferência do compensador da malha de corrente
-
CV(s)
Função de transferência do compensador da malha de tensão
-
Cv(s)
Função de transferência do compensador de tensão
-
CvPID(s)
Função de transferência do compensador PID
-
D/A
Conversor Digital-Analógico
-
D1
Diodo D1
-
D2
Diodo D2
-
D3
Diodo D3
-
D4
Diodo D4
-
xx
Símbolo
Significado
Unidade
DF
Fator de distorção
-
Dfiomax
Diâmetro máximo do fio de cobre
mm
Dinv
Razão cíclica instantânea do inversor
-
E
Tensão máxima nos interruptores
Volts
E(k)
Erro de entrada do compensador após a k-ésima amostragem
-
e(s)
Erro na entrada do compensador
-
f
Frequência
Hertz
Fa
Frequência de amostragem
Hertz
FC
Fator de crista do inversor
-
fc
Frequência de corte do filtro LC
Hertz
fcruz
Frequência de cruzamento adotada para o compensador de corrente
Hertz
fcruzamento
Frequência de cruzamento selecionada para o compensador
Hertz
fm
Função de modulação
-
Fm(s)
Função de transferência do modulador do inversor
-
Fmáx
Frequência máxima
Hertz
fo
Frequência nominal de saída do inversor
Hertz
FP
Fator de potência do inversor
-
fp1
Frequência de alocação do pólo nº 1 do compensador de corrente
Hertz
fp2
Frequência de alocação do pólo nº 2 do compensador de corrente
Hertz
f polo_1
Frequência de alocação do pólo nº 1 do compensador
Hertz
fpolo_2
Frequência de alocação do pólo nº 2 do compensador
Hertz
fs
Frequência de amostragem
Hertz
fs
Frequência de chaveamento do inversor
Hertz
fsample
Frequência de amostragem da tensão de saída do inversor
Hertz
FTLAv(s)
Função de transferência de laço aberto do sistema compensado
-
xxi
Símbolo
Significado
Unidade
FTMAi_compensado
Função de tranferência de laço aberto do sistema compensado
-
FTMAiINV(s)
Função de transferência de laço aberto do sistema não compensado
-
FTMAvsc(s)
Função de transferência de laço aberto da malha de tensão
-
fz1
Frequência de alocação do zero nº 1 para o compensador de corrente
Hertz
fzero_1
Frequência de alocação do zero nº 1 do compensador
Hertz
f zero_2
Frequência de alocação do zero nº 2 do compensador
Hertz
G
Ganho do copensador PI
-
G(s)
Função de transferência do filtro de saída do inversor
-
Gcomp
Ganho que deve ser fornecido pelo compensador
-
Gfreq_6,25kHz
Ganho do compensador de corrente na frequência de 6,25kHz
-
Gi(s)
Função de transferência da planta para a malha de corrente
-
GMA
Ganho do sistema de laço aberto não compensado
-
GTC
Ganho do TC
-
Gv(s)
Função de transferência da planta do inversor no odo tensão
-
HFn
Fator harmônico do n-ésimo harmônico
-
HV(s)
Função de transferência do circuito de amostragem de tensão
-
Hv(s)
Função de transferência do circuito de amostragem de corrente
-
I0
Corrente de saída do inversor
-
iamostragem
Corrente drenada pelo circuito de amostragem diferencial
Ampéres
ICap_rms
Corrente eficaz através do capacitor filtro do inversor
Ampéres
Icc_FDE
Corrente de fundo de escala para o indutor filtro
Ampéres
ID
Corrente de dreno do MOSFET @ 25oC
Ampéres
ID1_med
Corrente média através do diodo D1
Ampéres
ID1_pk
Corrente de pico no diodo D1
Ampéres
ID1_rms
Corrente eficaz através do diodo D1
Ampéres
xxii
Símbolo
Significado
Unidade
IIN
Corrente nominal de entrada
Ampéres
IIN_max
Corrente de entrada máxima do inversor
Ampéres
IIN_min
Corrente de entrada mínima do inversor
Ampéres
iL
Corrente instantãnea através do indutor filtro
Henrys
IL
Corrente através do indutor filtro
Ampéres
IL_pk
Corrente de pico no indutor
Ampéres
IL_rms
Corrente eficaz através do indutor filtro
Ampéres
io
Corrente de saída instantânea do inversor
Ampéres
Io_ind
Corrente nominal da carga indutiva
Ampéres
Io_pk
Corrente de pico de saída do inversor
Ampéres
Io_pk
Corrente de pico de saída do inversor
Ampéres
Io_pk_ind
Corrente de pico de saída para carga indutiva
Ampéres
Io_rms
Corrente eficaz de saída
Ampéres
Io_rms
Corrente eficaz nominal de saída do inversor
Ampéres
Io_rms_ind
Corrente eficaz de saída para carga indutiva
Ampéres
IS1_med
Corrente média no interruptor S1
Ampéres
IS1_rms
Corrente eficaz no interruptor S1
Ampéres
J
Densidade de corrente
A/cm2
KCC
Ganho do circuito de amostragem de corrente
-
Kd
Ganho derivativo do compensador tipo PID
-
Ki
Ganho integrativo do compensador tipo PID
-
Kocup
Fator de ocupação da janela
-
Kp
Ganho proporcional do compensador tipo PID
-
Kv_INV
Ganho do circuito de amostragem de tensão
-
Kw
Fator de utilização da janela
-
xxiii
Símbolo
Significado
Unidade
L
Indutor filtro do inversor
Henrys
Lo
Indutância nominal de carga
Henrys
LOH
Harmônico de mais baixa ordem
-
M
Índice de modulação do inversor
-
mf
Razão da frequência de modulação
-
MPL
Tamanho do caminho magnético
centímetro
N
Número de espiras do indutor
-
Nfios
Número de fios em paralelo no indutor
-
NL
Número de espiras necessárias
-
NpTC
Número de espiras no primário do TC
-
N sTC
Número de espiras no secundário do TC
-
p1
Pólo nº 1 do compensador
-
Pcomut_S1
Perdas por comutação
Watts
Pcond_S1
Perdas de condução no MOSFET
Watts
Pcu
Perdas no cobre do indutor filtro
Watts
PDR_TC
Potência dissipada no resistor shunt do TC
Watts
PIN
Potência nominal de entrada do inversor
Watts
Po
Potência ativa de saída do inversor
Watts
PR_100k
Potência dissipada no resistor de 100k
Watts
PR_150k
Potência dissipada no resistor de 150k
Watts
PR2
Potência dissipada no resistor R2 do circuito de amostragem
Watts
Ptot_S1
Perdas totais no interruptor S1
Watts
Qo
Potência reativa nominal
VAr
Qrr
Carga de recuperação reversa
Coulombs
R
Resistência de carga
Ohms
xxiv
Símbolo
Significado
Unidade
RELTC
Ganho do transformador de corrente
-
RL
Resistência interna do indutor
Ohms
Rnom
Resistência nominal de carga
Ohms
Rout
Resistência de saída do inversor
Ohms
RTC
Resistência shunt no secundário do TC
Ohms
Rtcd
Resistência térmica junção-encapsulamento
o
Rth_dissip
Resistência térmica do dissipador
o
Rthj
Resistência térmica junção-encapsulamento
o
Rthj_a
Resistência térmica junção-meio ambiente
o
S/H
Amostrador e Segurador
-
S1
Interruptor S1
-
S2
Interruptor S2
-
S27
Área da seção esmaltada do fio AWG27
mm2
S3
Interruptor S3
-
S4
Interruptor S4
-
So
Potência aparente máxima de saída
VA
T
Período
Segundos
t1
Primeiro instante de tempo
Segundos
t2
Segundo instante de tempo
Segundos
t3
Terceiro instante de tempo
Segundos
t4
Quarto instante de tempo
Segundos
Ta
Temperatura ambiente
t d(ON)
tempo de atraso de resposta do MOSFET
Td_S1
Temperatura entre o dissipador e o MOSFET
tf
Tempo de descida
C/W
C/W
C/W
C/W
o
C
Segundos
o
C
Segundos
xxv
Símbolo
Significado
Unidade
Tj
Temperatura na junção
o
Tr
Meta da temperatura de subida
o
tr
Tempo de subida
Segundos
t rr
Tempo de recuperação reversa do MOSFET
Segundos
Ts
Período de amostragem
Segundos
Tsample
Período de amostragem da tensão de saída do inversor
Segundos
Tsinv
Intervalo de comutação do interruptor do inversor
Segundos
U
Tensão lida na saída do inversor
Volts
U(k)
Saída do copensador após a k-ésima amostragem
-
V-
Entrada negativa do circuito diferencial
-
V+
Entrada positiva do circuito diferencial
-
V1
Valor eficaz da componente fundamental
Volts
VAB
Tensão de entrada do filtro LC de saída do inversor
-
VC
Tensão de controle de referência
-
VC_pk
Tensão de pico da onda moduladora senoidal
Volts
VCap_pk
Tensão de pico sobre o capacitor filtro
Volts
VCC
Tensão do barramento de entrada do inversor
Volts
V CTRL(s)
Tensão de controle do compensador
-
VD1_max
Tensão reversa máxima sobre o diodo D1
Volts
VDS
Tensão dreno-fonte do MOSFET
Volts
VFDE_proc
Tensão de fundo de escala para opino do microcontrolador
Volts
VGS
Tensão de gate do MOSFET
Volts
Vi
Tensão de entrada do filtro LC no domínio s
Volts
VIN
Tensão de entrada nominal do inversor
Volts
VIN_max
Tensão de entrada máxima admissível
-
C
C
xxvi
Símbolo
Significado
Unidade
VIN_min
Tensão de entrada mínima admissível
Volts
Vinv_FDE
Tensão de fundo de escala para a saída do inversor
Volts
Vn
Valor eficaz do n-ésimo harmônico
Volts
vo
Tensão de saída instantânea do inversor
Volts
Vo
Tensão de saída do indutor filtro no domínio s
-
Vo_max
Amplitude da componente fundamental da tensão de saída
Volts
Vo_pk
Tensão de pico de saída do inversor
Volts
Vo_pk
Tensão de pico de saída do inversor
Volts
Vo_rms
Tensão eficaz de saída do inversor
Volts
Vout_pino
Tensão no pino do microcontrolador
Volts
Vpk_TC
Tensão de fundo de escala no secundário do TC
V
VR_100k
Queda de tensão sobre o resistor de 100k
Volts
VR_150k
Queda de tensão sobre o resistor de 150k
Volts
VR2
Queda de tensão no resistor R2 do circuito de amostragem
Volts
Vref
Tensão de referência senoidal para o inversor
Volts
VS1_max
Tensão máxima sobre um interruptor
Volts
Vtri
Amplitude da onda portadora triangular
-
Vtri_pk
Tensão de pico da onda portadora triangular
Volts
Vtri2
Portadora triangular defasada de 180 graus
-
Wa
Área da janela
mm2
Wa(eff)
Área efetiva da janela
cm2
w p1_filtro
Frequência de alocação do pólo 1
rad/s
wp2_filtro
Frequência de alocação do pólo 2
rad/s
Wtfe
Peso do núcleo
gramas
xxvii
Símbolo
Significado
Unidade
Xo
Reatância indutiva nominal de carga
Ohms
Z1
Impedância equivalente 1 no domínio s
-
z1
Zero nº 1 do compensador
-
Z2
Impedância equivalente 2 no domínio s
-
z2
Zero nº 2 do compensador
-
Znom
Impedância nominal de carga
Ohms
ZOH
Segurador de ordem zero
-
a
Ângulo entre tensão e corrente de saída do inversor
-
Profundidade da corrente no fio de cobre
mm
I
Ondulação de corrente no indutor
Ampéres
iL
Ondulação de corrente no indutor filtro
Ampéres
iL_max
Máxima variação de corrente no indutor
Ampéres
t
Intervalo de condução do interruptor
Segundos
Vo
Ondulação de tensão permissível na saída
Volts
Rendimento do inversor
-
(s)
Função de transferência do circuito de amostragem de corrente
-
27
Resistividade do cobre
/ cm
Frequência angular
rad/s
b
Largura de banda em malha fechada desejada
rad/s
cruzamento
Frequência de cruzamento selecionada para o compensador
rad/s
o
Frequência angular natural de oscilação do filtro LC
rad/s
s
Frequência de amostragem
rad/s
xxviii
ACRONIMOS E ABREVIATURAS
Símbolo
Significado
AWG
American Wire Gauge
CC
Corrente Contínua
CA
Corrente Alternada
DSP
Digital Signal Processor
IGBT
Insulated Gate Bipolar Transistor
MAC
Multiply and Acumulate
MOSFET
Metal-Oxide_Semiconductor Field-Effect Transistor
PWM
Pulse Width Modulation
SPWM
Modulação por Largura de Pulso Senoidal
TBJ
Transistor Bipolar de Junção
THD
Total Harmonic Distortion
UFC
Universidade Federal do Ceará
UPS
Uniterruptible Power Supply
INTRODUÇÃO GERAL
Segundo o U.S. Department of Energy, através do relatório internacional Energy
Outlook 2006 (IEO) da Energy Information Administration (EIA), o consumo global de energia
crescerá a uma média de 2% ao ano entre 2003 e 2030. Em se tratando especificamente de
energia elétrica, o crescimento dará a uma média de 2,7% ao ano, passando de 14,781
bilhões de kWh em 2003 para 21,699 bilhões de kWh em 2015 e 30,116 bilhões de kWh em
2030.
Sabe-se que a energia elétrica não é diretamente disponibilizada pela natureza em
condições de ser utilizada, tendo que ser obtida a partir da transformação de uma outra
forma de energia. De maneira geral, feita essa transformação a energia elétrica ainda não se
encontra pronta para a utilização, necessitando ainda ser processada e finalmente entregue
na forma mais apropriada para a carga.
Dentre as diversas formas de geração de energia elétrica, as chamadas fontes
alternativas de energia têm sido desenvolvidas e estão ganhando força impulsionadas
principalmente pelo aumento da preocupação com as questões ambientais, notadamente o
efeito estufa, que promove a mobilização mundial a fim de reduzir a emissão de gases
poluentes. Exemplo disso é o tratado de Kyoto, o qual recebe cada vez maior adesão tanto
de países desenvolvidos como de países em desenvolvimento, e que estimula a pesquisa de
tecnologias que permitam aliviar a pressão sobre os métodos tradicionais de geração de
energia a base de combustíveis fósseis.
Os conversores encontrados na Eletrônica de Potência são circuitos eletrônicos
responsáveis por converter energia elétrica de um nível de tensão, de corrente e de
freqüência para outros utilizando interruptores eletrônicos. A característica essencial destes
circuitos é que os interruptores operam somente em condução ou em bloqueio. Através de
modulação adequada e do emprego de determinada topologia, pode-se converter energia
elétrica com rendimento elevado, diferentemente do que ocorre quando se utilizam
interruptores operando na região ativa.
Os inversores, ou conversores CC-CA, são responsáveis pela conversão de níveis
contínuos de tensão ou corrente em níveis alternados em sua saída, apresentando simetria
em amplitude. A freqüência de operação pode ser fixa ou variável.
2
Os inversores são amplamente utilizados em aplicações industriais, por exemplo,
acionamento de máquinas CA em velocidade variável, aquecimento indutivo e fontes
auxiliares. A entrada pode ser uma bateria, célula combustível, célula solar ou outra fonte
CC. Além disso, uma das principais aplicações do inversor são em sistemas ininterruptos de
energia ou simplesmente no-breaks. Nestes sistemas o inversor constitui-se o último dos
blocos, ou seja, é a etapa de saída dos no-breaks. Quando a rede está ativa este bloco é
alimentado pela tensão de saída do retificador, retirando sua energia da rede. Quando há
uma falta de energia na rede este bloco passa a ser alimentado pelo conversor DC/ DC,
retirando sua energia das baterias, de modo que não falte energia na saída, sendo esta a
idéia central do no-break.
Especialmente em aplicações de fontes ininterruptas de energia são encontradas
cargas não lineares, presentes em quase todos os estágios de entrada de equipamentos
eletrônicos. As cargas não-lineares contribuem de maneira significativa para a distorção da
forma de onda da tensão de saída do inversor. Para que a distorção harmônica se mantenha
em um nível tolerável, deve ser utilizada uma malha de controle da tensão de saída com
resposta transitória rápida, bem como um projeto adequado do filtro de saída do inversor.
Inversores de tensão senoidais são, portanto, responsáveis por converter uma tensão
contínua em uma tensão senoidal em sua saída. Entretanto, a operação dos interruptores
em alta freqüência produz na saída do inversor interferências (harmônicos) indesejáveis.
Para aplicações de baixa e média potências, tensões de onda quadrada ou quase quadrada
podem ser aceitáveis e para aplicações de potência elevada, são necessárias formas de onda
senoidais com baixa distorção. Com a disponibilidade de dispositivos semicondutores de
potência de alta velocidade, o conteúdo harmônico da tensão de saída pode ser minimizado
ou reduzido significativamente pelas técnicas de chaveamento. Além disso, usualmente é
empregado um filtro do tipo L-C na saída do estágio inversor para que o conteúdo
harmônico seja filtrado e somente a parcela referente à freqüência fundamental esteja
disponível na saída. Normalmente também se faz necessária a inclusão de uma malha de
controle de tensão para que eventuais perturbações não se reflitam na saída do inversor,
preservando sua forma de onda senoidal.
A tensão de saída de um inversor pode ser fixa ou variável em uma freqüência também
fixa ou variável. Uma tensão variável de saída pode ser obtida variando-se a amplitude da
tensão CC de entrada e mantendo-se o ganho do inversor constante. Por outro lado, se a
3
tensão CC de entrada for fixa e não-controlável, uma tensão variável de saída pode ser
obtida pela variação do ganho do inversor, a qual é normalmente realizada pelo controle
modulação por largura de pulso (do inglês pulse width modulation – PWM) dentro do
inversor. O ganho do inversor pode ser definido como a relação entre a tensão de saída CA e
a tensão de entrada CC.
O presente trabalho tem por objetivo principal o projeto de um inversor monofásico
de tensão senoidal em ponte completa com baixa distorção harmônica total (THD) da tensão
de saída, utilizando um processador digital para a realização do controle das malhas de
tensão e corrente do inversor. O processador digital de sinais utilizado é o dsPIC 30F2020.
Ainda, deseja-se que a metodologia seja de fácil aplicação e que minimize os esforços de
corrente nos semicondutores e nos demais componentes do sistema, sem prejudicar a
forma de onda de saída.
As motivações que impulsionaram este trabalho se concentram na inexistência na
literatura de uma metodologia completa de projeto de inversores com controle digital, não
obstante ao fato de que a estrutura já esteja em desenvolvimento há alguns anos. Na
literatura as contribuições referentes a esse tópico se concentram em pontos específicos do
projeto, como a determinação dos componentes do filtro L-C e métodos alternativos de
controle.
O texto é dividido em quatro capítulos que detalham o projeto do Inversor controlado
digitalmente. No capítulo 1 é feito uma revisão bibliográfica dos principais conceitos
inerentes ao estudo de inversores monofásicos, as suas aplicações e as topologias básicas
conhecidas na literatura. Também é apresentado os principais conceitos referentes ao
controle digital e as principais características do dsPIC utilizado no projeto.
No capítulo 2 é realizado o estudo teórico do inversor. As principais estratégias de
modulação existentes são apresentadas, assim com as equações de projeto dos seus
componentes. A estratégia de controle é descrita e são mostradas algumas maneiras
consolidadas na literatura de discretização dos controladores a partir de seus equivalentes
na forma analógica.
No capítulo 3 é apresentado o exemplo de projeto do inversor, nas quais são
apresentadas as características e faixas de operação do conversor, o dimensionamento do
elemento magnético é apresentado, assim como os esforços de corrente e tensão nos
4
semicondutores. É apresentado também todo o projeto dos controladores das malhas de
tensão e corrente, assim como a discretização dos mesmos.
No capítulo 4 é apresentado todos os resultados de simulação obtidos no projeto, com
a apresentação de algumas características relevantes obtidas e várias formas de onda,
verificando os estudos teóricos dos capítulos anteriores.
5
CAPÍTULO 1
INVERSORES MONOFÁSICOS E PROCESSAMENTO DIGITAL – UMA REVISÃO
1.1 Introdução
A conversão CC-CA é um dos mais significativos e explorados campos da Eletrônica de
Potência. Dentre as diversas formas de processamento de energia é uma das mais
requisitadas, pois seu leque de aplicações é amplo. Pode-se citar, entre outras, a geração
distribuída, as aplicações embarcadas e os sistemas ininterruptos de energia. Este capítulo
apresenta os principais conceitos inerentes aos inversores monofásicos de tensão e os
aspectos mais relevantes no controle digital de processos.
1.2 Topologias Básicas de Inversores Monofásicos
O inversor é um conversor de potência capaz de converter uma tensão contínua em
alternada. Este conversor opera através de uma específica seqüência de disparo dos
interruptores de potência, como IGBTs, MOSFETs, etc.
São diversas as topologias desenvolvidas resultantes da intensiva pesquisa realizada
nesta área. Dentre as diversas topologias que realizam a conversão CC-CA, a determinação
de qual topologia deve ser utilizada em uma aplicação específica está intimamente
relacionada com as características da fonte de energia disponível e as características da
carga a ser alimentada. Entre as topologias monofásicas destacam-se os conversores CC-CA
em ponte completa, em meia ponte e do tipo Push-Pull [1].
A – Inversor Push-Pull
O Inversor Push-Pull foi uma das primeiras topologias de inversores utilizadas
industrialmente. Esta topologia é mais bem adaptada às baixas potências e baixas
freqüências de chaveamento, sendo empregada em muitos no-breaks do tipo Stand-By.
A seqüência de condução alternada dos interruptores S1 e S2, vistos na Figura 1.1,
garante uma tensão alternada em virtude do transformador de duplo enrolamento primário.
Os diodos D1 e D2 são inseridos para garantir a continuidade da corrente nos enrolamentos
primários devido à carga indutiva na saída ou aos instantes intermediários ao disparo de um
ou outro interruptor.
6
Figura 1.1 – Circuito inversor Push-Pull.
Suas principais características são:
Necessita de transformador, sendo este com ponto médio no primário;
Utiliza apenas uma fonte CC;
A saída CA é galvanicamente isolada da fonte CC;
Os interruptores de potência e a fonte CC estão na mesma massa, facilitando o projeto
dos drivers (circuitos de acionamento) dos interruptores;
Tensão máxima nos interruptores igual ao dobro da tensão da fonte CC.
B – Inversor Meia Ponte
O Inversor Meia Ponte apresenta apenas um “braço inversor”, ou seja, conjunto de
interruptores que operam de forma complementar. A estrutura de potência, em sua
configuração básica, pode ser vista na Figura 1.2(a), onde há duas fontes CC formando um
barramento CC duplo. Estas fontes podem ser substituídas por um divisor capacitivo, a partir
de uma única fonte CC, como ilustrado na Figura 1.2(b).
Figura 1.2 – Circuitos do inversor Meia Ponte. (a) Com dupla fonte CC e (b) Com fontes CC obtidas a partir de
divisores capacitivos.
As principais características do Inversor Meia Ponte são:
7
Usa apenas um braço inversor, constituído de dois interruptores que operam de forma
complementar;
O comando dos interruptores exige, pelo menos, uma fonte isolada ou circuito
bootstrap;
Comando complementar de apenas dois interruptores;
Exige barramento CC dividido ou duas fontes CC, com tensão total equivalente ao dobro
da tensão de pico de saída;
Modulação em dois níveis;
Pode operar sem transformador isolador quando empregado em no-break on-line de
dupla conversão.
Tensão máxima nos interruptores igual a tensão total do barramento.
C – Inversor Ponte Completa
O inversor ponte completa opera com dois braços inversores. A estrutura básica pode
ser vista na Figura 1.3, onde há uma fonte CC e dois braços inversores. Esta estrutura exige o
comando de quatro interruptores, sendo que dois necessitam de fonte isolada ou circuito
bootstrap para seu acionamento. Apesar de um maior número de interruptores, com dois
braços inversores, há a vantagem de uso de uma fonte CC única e de menor tensão, quando
comparado ao inversor Meia Ponte.
Figura 1.3 – Circuito do inversor ponte completa.
Quando o Inversor Ponte Completa operar em no-break on-line de dupla conversão,
ele exigirá obrigatoriamente o uso de transformador isolador (na entrada ou na saída do nobreak) devido à ligação da chave de transferência estática, em decorrência da conexão do
neutro da rede com a carga. O Inversor Ponte Completa possui uma característica
interessante que é a possibilidade de etapas de tensão nula na carga, sem interrupção de
8
corrente. Estas etapas possibilitam a operação com modulação em três níveis, garantindo
três níveis de tensão na carga.
As principais características do Inversor Ponte Completa são:
Usa dois braços inversores;
Circuito de comando para quatro interruptores, com pelo menos duas fontes isoladas ou
circuitos bootstrap;
Comando complementar de dois pares de braços inversores;
Barramento CC único, com tensão CC igual ao pico da tensão de saída;
Possibilidade de modulação em dois ou três níveis;
Necessidade de transformador isolador quando empregado em no-break on-line de
dupla conversão;
Tensão máxima nos interruptores igual à tensão do barramento CC. Menor esforço de
tensão nos interruptores.
Os três tipos de inversores monofásicos apresentados têm suas características
resumidas de acordo com o quadro comparativo visto na Tabela 1, onde E representa a
tensão do barramento CC de entrada, IO_pk a corrente de pico nos interruptores e a a relação
de transformação no transformador Push-Pull.
Tabela 1 – Comparação entre as configurações de inversores de tensão monofásicos.
9
Outras classificações encontradas na literatura capazes de distinguir os inversores de
tensão podem ser dadas de acordo com as seguintes características:
Quanto ao número de fases: Monofásico ou Trifásico;
Capacidade ou não de adaptação do nível de tensão de entrada ao nível de tensão da
saída;
Comutação dos interruptores: Suave ou Dissipativa;
Comutação dos interruptores: Suave ou Dissipativa;
Presença ou não de isolamento elétrico entre a entrada e a saída;
Quantidade de estágios de processamento de potência: Simples ou Múltiplos.
Cada tipo pode usar dispositivos com disparo ou bloqueio controlados (por exemplo,
BJTs, MOSFETs, IGBTs, MCTs, SITs, GTOs) ou tiristores em comutação forçada, dependendo
das aplicações. Esses inversores em geral usam sinais de controle PWM para produzir uma
tensão CA de saída. Assim, um inversor é chamado de inversor alimentado por tensão se a
tensão de entrada for constante, inversor alimentado por corrente se a corrente de entrada
for mantida constante, e inversor com interligação CC variável, se a tensão de saída for
controlável.
1.3 Tipos de Conversores CC-CA
Os conversores estáticos CC-CA ou inversores podem ser classificados em uma das
seguintes categorias, dependendo do tipo de fonte alternada que se deseje na saída:
a) Conversores CC-CA de tensão;
b) Conversores CC-CA de corrente;
c)
Conversores CC-CA regulado em corrente;
d) Conversores CC-CA da fase controlada.
Entre esses conversores, o de fase controlada (item d) é o único incapaz de gerar uma
fonte alternada independente. Na realidade ele serve como interface de processamento de
energia entre uma fonte CC e uma fonte CA já existente. Ele é, na realidade, um retificador
de fase controlada operando no modo inversor, ou seja, com o sentido do fluxo de energia
reverso.
O conversor CC-CA de tensão é o mais comum dos tipos de conversores CC-CA. O sinal
alternado gerado na saída comporta-se como uma fonte de tensão alternada, com valor
10
médio nulo. A tensão contínua na entrada pode ser originada a partir da saída de um
retificador alimentado pela rede elétrica CA, um capacitor de valor elevado para substituí-la
ou outra fonte CC qualquer. Neste caso ele é comumente denominado na literatura
internacional de “DC link inverter”. Em outros casos, a tensão contínua de entrada pode ser
originada a partir de uma fonte independente, como por exemplo, um banco de baterias ou
um conjunto de painéis solares fotovoltaicos.
Dentre as aplicações deste tipo de conversor pode-se destacar: controle de velocidade
de máquinas elétricas de corrente alternada, sistemas de alimentação ininterrupta de
energia (UPS’s), aquecimento indutivo, fontes de alimentação para aeronaves, etc.
Já os conversores CC-CA de corrente possuem a saída comportando-se como uma
fonte de corrente. A exemplo do conversor anterior, ele é também denominado na literatura
internacional de “DC link inverter”, mas nesta situação o “DC link” opera como uma fonte de
corrente contínua.
1.4 Parâmetros de Desempenho de um Inversor
A saída de inversores práticos contém harmônicos. Os harmônicos surgem devido à
presença de cargas não lineares. Essas cargas não possuem uma relação linear entre a
tensão e a corrente, como cargas resistivas, indutivas e capacitivas. Estas podem ser geradas
por equipamentos elétricos e eletrônicos que possuem componentes não lineares tais como:
diodos, transistores, chaves manuais entre outros.
As cargas não lineares absorvem uma corrente diferente da forma de onda da tensão
que a alimenta, gerando uma perturbação na onda da corrente [2]. A harmônica é uma
componente adicional que possui freqüência múltipla da onda senoidal fundamental. Na
Figura 1.4 está ilustrada a componente fundamental da tensão e sua quinta harmônica.
Figura 1.4 – Componente fundamental e sua quinta harmônica.
11
Na Figura 1.5 é apresentada a forma de onda da tensão resultante, que neste caso é a
soma das duas componentes apresentadas na Figura 1.4.
Figura 1.5 – Tensão resultante da soma da componente fundamental com a sua quinta harmônica.
A qualidade de um inversor é normalmente avaliada em função dos seguintes
parâmetros de desempenho [3]:
A - Fator Harmônico do n-ésimo Harmônico – HFn.
O fator harmônico do n-ésimo harmônico, é uma medida da contribuição do
harmônico individual na forma de onda final e é definido como:
HFn
Vn
V1
(1.1)
Onde V1 é o valor eficaz da componente fundamental e Vn é o valor eficaz do n-ésimo
componente harmônico.
B - Distorção Harmônica Total – THD.
A Distorção Harmônica Total é a medida da proximidade da forma entre a forma de
onda e sua componente fundamental. O THD é calculado através da seguinte expressão:
THD
1
.
V1
Vn 2
n 2,3,...
(1.2)
Onde V1 é a tensão fundamental e Vn é a tensão harmônica de n-ésima ordem. Este é um
dos principais parâmetros de desempenho utilizados na prática.
12
C - Fator de Distorção – DF.
A THD dá o conteúdo harmônico total, mas não indica o nível de cada componente
harmônica. Se utilizarmos um filtro na saída dos inversores, os harmônicos de mais alta
ordem são atenuados mais eficientemente. Portanto, é importante um conhecimento de
ambos, freqüência e amplitude, de cada harmônico. O fator de distorção indica a quantidade
de distorção harmônica que resta em uma forma de onda particular, após os harmônicos
daquela forma de onda terem sido submetidos a uma atenuação de segunda ordem (isto é,
divididos por n2). Assim, DF é uma medida da eficiência em redução de harmônicos
indesejáveis, sem ter de especificar os valores de um filtro de carga de segunda ordem. Ele é
matematicamente definido como:
DF
1
.
V1
(
n 2,3,...
Vn 2
)
n2
(1.3)
D - Harmônico de mais baixa ordem – LOH.
O harmônico de mais baixa ordem é o componente harmônico cuja freqüência está
mais próxima da fundamental, e sua amplitude é maior ou igual a 3% da componente
fundamental.
E - Fator de Crista
A definição de fator de crista é a relação entre a corrente de pico e a corrente RMS. O
fator de crista para uma carga linear tipo resistiva, capacitiva, indutiva ou a mistura entre
elas é
2 1, 41 . Na troca para cargas não lineares o fator de crista é muito mais alto e em
alguns casos pode ser inclusive superior a 2,5. Um inversor que pode proporcionar fatores
de crista na ordem de 3 é um equipamento capaz de alimentar praticamente todos os tipos
de carga que temos hoje no mercado. Quanto maior é este parâmetro melhor o
funcionamento do inversor com cargas não lineares.
1.5 Drivers para Inversores
Com o acelerado avanço da tecnologia e o crescente desenvolvimento de
equipamentos eletrônicos, principalmente nas áreas de telecomunicações e sistemas de
13
computadores, surge a necessidade de desenvolver fontes de alimentação de alto
desempenho, elevada eficiência e reduzido volume. Tais fontes são implementadas fazendose uso de conversores estáticos de energia, nos quais chaves eletrônicas, como MOSFETs e
IGBTs, controlam o fluxo de energia entre a fonte e a carga. Para comutar esses dispositivos,
ou seja, fechar (colocar em condução) e abrir (bloquear), faz-se necessário o uso de circuitos
eletrônicos acionadores conhecidos como drivers. Tais circuitos devem fornecer níveis de
tensão e de corrente adequados para que as chaves comutem de maneira eficaz.
Os transistores MOSFETs e IGBTs são dispositivos que se caracterizam por serem
controlados por tensão. A impedância do circuito gate-source no MOSFET e gate-emissor no
IGBT é muito elevada, diminuindo a potência média a ser fornecida pelo circuito de
comando e simplificando o projeto do mesmo. Embora a potência seja reduzida, é preciso
que o circuito de comando seja capaz de fornecer pulsos de corrente elevados para carregar
e descarregar rapidamente as capacitâncias internas do transistor.
Atualmente existem no mercador vários fabricantes de circuitos integrados específicos
para o comando destes transistores. Alguns modelos permitem a implementação de um
circuito de proteção do transistor contra correntes elevadas de modo a evitar a queima do
mesmo.
Empregado em circuitos em que os transistores comutam de forma complementar, o
driver do tipo bootstrap é comumente utilizado em projetos de inversores. Este circuito
opera sem a necessidade de se ter um isolamento para o acionamento dos transistores do
lado superior do braço, através de um circuito integrado e de uma ligação adequada. A
Figura 1.6 mostra o diagrama de blocos típico de um CI do tipo bootstrap e seu esquemático
de ligação para o acionamento dos interruptores.
Figura 1.6(a)– Esquemático de ligação de um CI do tipo bootstrap: IR2110.
14
Figura 1.6(b) – Diagrama de blocos de um CI do tipo bootstrap: IR2110.
O circuito integrado normalmente possui dois transistores de alta tensão e baixa
potência internos (representado na Figura 1.6 como HV Level Shift) que fazem a ligação do
circuito de baixa potência e alta potência. O capacitor entre VB e VS é a fonte da tensão VGS
do transistor superior, sendo carregado através do diodo D quando o transistor inferior está
em condução.
Uma freqüência mínima de comutação deve existir e o transistor inferior deve ser
freqüentemente comutado para que se realize a recarga do capacitor entre VB e VS através
de VCC (geralmente 15V). O diodo D deve ser projetado para uma tensão reversa de bloqueio
igual a tensão de bloqueio dos transistores de saída.
Circuitos Integrados típicos dessa categoria são o IR2110 e o IR2130 da International
Rectifier, que possuem, respectivamente, um e três acionamentos complementares.
1.6 Controle Digital por DSP
O controle digital do conversor permite a implementação de algoritmos mais eficientes
e uma maior integração do sistema. Para a implementação do controle digital do conversor é
necessário conhecer alguns conceitos que serão apresentadas em seguida [4].
Evidencia-se na literatura atual e em aplicações industriais, o uso cada vez mais
freqüente de técnicas de controle digital via microprocessadores no comando e controle de
sistemas. Novas técnicas e equipamentos vêm surgindo em todas as áreas do conhecimento
humano, na Engenharia Elétrica muitos dos admiráveis utensílios, que facilitam e agilizam a
vida, trazem embutidas tecnologias, em que as soluções propostas são muitas vezes
15
extremamente sofisticadas, tornando-as economicamente inviáveis e possivelmente não
implementáveis por métodos clássicos da eletrônica Analógica-Digital. O uso de
microprocessadores e DSP’s têm viabilizado a operação de sistemas que requerem
processamento e controle mais refinados.
Com o avanço na área de controle de processos, novas leis de controle cada vez mais
eficientes têm sido estudadas e analisadas, conseguindo-se maior eficiência no controle de
equipamentos, porém o preço que se paga é tangente ao custo e à dificuldade de
implementação de tais técnicas, ficando, ás vezes, as soluções encontradas confinadas a
aplicações apenas no campo teórico. Assim, os microprocessadores, cada vez mais
sofisticados e atrativos financeiramente, são hoje notoriamente evidentes em uma grande
gama de equipamentos e instrumentos, parecendo tornar-se uma tendência natural a
migração para sistemas de controle digital baseados em microprocessadores. Pode-se citar
as seguintes qualidades inerentes ao uso do controle digital:
Flexibilidade na implementação do controlador dinâmico na malha de realimentação.
Atribui-se a flexibilidade ao fato de a lei de controle dar-se por linhas de programação,
bastando a reprogramação para se obterem diferentes tipo de controladores ou mesmo
para efetuar ajustes no controlador.
A capacidade de decisão lógica e de armazenamento de dados, características de
sistemas digitais, traz como atrativo a facilidade de incorporação e uso de funções como
alarme, o controle de partida suave ou de desligamento do processo, as funções de
supervisão dos diversos componentes integrantes do processo, as sinalizações e as tomadas
de decisões.
Menor custo e maior confiabilidade no controle de processos que envolvam a
implementação de várias malhas de um processo complexo, já que praticamente se trata do
mesmo hardware utilizado para sistemas monovariáveis, pois muitas vezes o sistema já
disponibiliza diversas entradas A/D e D/A, podendo-se monitorar sistemas multivariáveis.
Maior imunidade a ruídos, pelo fato de que, uma vez feitas adequadamente as
aquisições dos sinais pertencentes ao sistema, os dados são armazenados na memória e
submetem-se apenas às manipulações e transferências internamente no processador, desta
forma ficando ele imune a ruídos provocados pelos elementos analógicos do sistema
exterior.
Em contrapartida, algumas desvantagens também existem:
16
O custo pode tornar-se elevado. Um exemplo seria nas aplicações de controle menos
sofisticadas e de baixo custo, em que o processo pode ser controlado com o emprego de
controladores convencionais. Em casos como esse, o custo de sistemas de controle baseado
em processadores pode comprometer o custo final do projeto. Não é muito simples
ponderar esses fatores; faz-se necessário observar com mais cuidado o processo a ser
controlado, a fim de evitar desperdícios dos componentes na capacidade de processamento,
memória, periféricos, etc.
Podem tornar-se complexos a análise e o projeto no domínio discreto, visto que existem
vários problemas a considerar, tais como erro de quantização, período de amostragem do
sinal e a resolução dos conversores A/ D e D/ A. Quanto ao tipo de microprocessador,
também devem ser analisados os seguintes aspectos: ponto fixo ou ponto flutuante,
memória necessária, periféricos necessários, noção de lógica, algoritmos e programação.
Em grande parte das aplicações em Eletrônica de Potência, como em retificadores,
conversores CC-CC, inversores e UPS, necessita-se de controle, supervisão e regulação das
variáveis de tensão e corrente, por exemplo. O controle dessas variáveis pode ser realizado
através do comando adequado dos interruptores da estrutura de potência em questão. O
método que é normalmente empregado, no qual se tem a variação do tempo de condução
dos interruptores em relação ao período de comutação é chamado de PWM (modulação por
largura de pulso) e vem sendo empregado ao longo dos tempos.
Com o avanço tecnológico, novos componentes têm sido desenvolvidos no intuito de
controle e geração mais simples e econômicos desse tipo de modulação (PWM), entre os
meios emergentes, destacam-se componentes eletrônicos desenvolvidos especificamente
para esse fim, e o uso cada vez mais freqüente de técnicas digitais microprocessadas.
Mediante o comando adequado, pode-se controlar as estruturas de Eletrônica de
Potência. Isso se traduz em diversas aplicações, tais como:
Controle de máquinas;
Fontes de alimentação com alta densidade de potência;
UPS (no-breaks);
Sistemas eletrônicos para iluminação;
Sistemas eletrônicos para o acionamento de motores elétricos;
Unidades retificadoras para telecomunicações;
17
Carregadores de bateria;
Estabilizadores de tensão;
Sistemas eletrônicos para aquecimento resistivo e indutivo;
Processamento de energia fotovoltaica.
1.6.1 Microprocessadores, Microcontroladores, DSP’s e DSC’s.
De uma forma geral, todos são circuitos integrados disponíveis nos mais variados tipos
de encapsulamento e destinados ao tratamento de sinais digitais.
Primeiro vieram os microprocessadores, substituindo milhões de transistores nos
computadores, que chegavam a ocupar o andar de um prédio e após esta invenção
diminuíram consideravelmente de tamanho. São usados principalmente para processamento
complexos e possuem alto custo. Dentre algumas aplicações estão presentes nos
microcomputadores pessoais, em aparelhos eletrônicos de uso doméstico e nos
equipamentos médicos. Este circuito integrado não consegue fazer nada sozinho, pois são
necessários outros dispositivos externos para que ele se torne útil. É uma memória de
programa, que deve conter o programa que será executado pelo microprocessador.
Necessita inclusive de barramentos entre essa memória e o microprocessador, que são a
ligação física entre a memória e o microprocessador (fios ou trilhas). No caso de um
barramento de dados de 16 bits, haverá de 16 fios ou trilhas comunicando os dois. Existe a
necessidade também do barramento de endereços, o qual seleciona o endereço em que o
dado será lido ou escrito na memória. Desta forma, em um microprocessador deve ser
acoplado externamente todo componente necessário para o cumprimento da aplicação.
Os microcontroladores, em geral, possuem todos os periféricos necessários em um
único chip. Seu tamanho também é muito pequeno, mesmo contendo vários periféricos
como: memórias, barramentos, timer’s, portas de comunicação, conversores de sinal
analógico para digital, etc. Eles possuem desempenho menor que os microprocessadores,
mas são ideais em aplicações que necessitam de menores dimensões, tempo de
desenvolvimento e custos.
Este dispositivo é amplamente usado em automação industrial, residencial e predial,
eletrodomésticos, brinquedos eletrônicos e em qualquer situação em que seja necessário o
controle de um dispositivo de sinais eletrônicos. Por exemplo, em um elevador, quando
18
alguém aperta o botão para ir até um andar específico, o microcontrolador recebe essa
informação como um dado de entrada, interpreta-o e aciona os motores do elevador até
aquele andar, pára e abre as portas.
O processador digital de sinais (DSP) vem do inglês “Digital Signal Processing” e pode
definir tanto o processador quanto o processo em si. Difere dos processadores genéricos na
sua arquitetura de hardware, software e no conjunto de instruções otimizado para o
tratamento digital de sinais. Esse tipo de tratamento exige um alto desempenho para
aplicações numéricas em tempo real, as quais seriam impossíveis de serem realizadas por
circuitos analógicos. Além de ser um sistema flexível devido à sua característica de
reconfigurabilidade, o DSP oferece inúmeras vantagens em relação a outros sistemas ou
dispositivos.
Ele é projetado para realizar diversas manipulações e transformações matemáticas em
um conjunto de números extraídos do mundo real (analógico) rapidamente. Os humanos
adquirem dados analógicos do mundo real, como o som, as imagens, a temperatura e a
intensidade luminosa, processam essas informações para realizar uma série de ações a partir
dessa entrada. Tudo isso acontece a todo o momento, e denomina-se tempo real.
Os DSP´s também operam em tempo real, tratando sinais contínuos, assim como os
seres humanos. Para isso é necessário o conversor analógico-digital (A/D), que captura os
sinais contínuos da natureza (analógicos) e os transforma em dados digitais discretos. É
nessa informação digital que o processador deve efetuar operações complexas que levarão a
um novo dado digital que passará por um conversor digital-analógico (D/ A), que é entregue
ao meio em tempo real.
Enquanto os microcontroladores ou microprocessadores comuns necessitam de vários
ciclos de clock para executar uma instrução mais complexa, os DSP´s possuem conjuntos de
instruções que, em situações especiais, podem executar um bloco de instruções em um ciclo
de clock. Esta particularidade associada a outros recursos (acesso direto à memória,
conversores A/ D e D/ A eficientes) torna possível a operação em tempo real. Fica fácil
perceber que um dos "gargalos" nesse sistema são os conversores A/ D e D/ A que devem ter
alta taxa de amostragem (aquisição e processamento dos dados) para um resultado
satisfatório.
Os primeiros processadores de sinais digitais surgiram na década de 60 e com custos
elevados, o que limitava as aplicações à área militar e médica. Foi na década de 80 que os
19
DSP´s tornaram-se comerciais, logo determinando produtos como os telefones, modems,
sintetizadores de áudio e outros.
Os DSP´s possuem algumas características particulares projetadas para habilitar tarefas
repetitivas de alta performance. Destas, a mais expressiva talvez seja a habilidade de realizar
uma operação de multiplicação e acumulação (denominada normalmente de MAC, Multiply
and Acumulate) em um único ciclo de instrução. Essa operação é muito utilizada em
aplicações de processamento de sinais digitais em tempo real.
Para alcançar uma operação MAC em único ciclo de clock, os processadores de sinais
digitais precisam integrar tanto uma unidade multiplicadora quanto uma acumuladora em
seu barramento de dados principal. Esta é a diferença principal, em termos de hardware,
entre os microcontroladores e microprocessadores, pois ambos não possuem essas
unidades, como mostrado anteriormente. Suas operações de multiplicação são realizadas
por somas e deslocamentos sucessivos.
Uma característica interessante dos DSP´s é sua capacidade de realocar o espaço não
utilizado da memória de programa para uma extensão da memória de dados. Uma vez que o
hardware estiver em execução, o programa contido na memória de programa não será
alterado, possuindo tamanho fixo, possibilitando facilmente determinar o espaço não
utilizado e alocá-Io para outra função.
Atualmente os DSP´s são empregados em uma grande variedade de produtos
eletrônicos, mas dominam algumas aplicações mais comuns, como:
Nas telecomunicações (filtros, compressão, multiplexação e cancelamento de eco);
No processamento de áudio (gravação em estúdio, sintetizadores, mixers, filtros e
reconhecimento de voz);
No processamento de imagens (principalmente na área médica);
Na instrumentação e controle (precisão das medidas e controle industrial).
Os controladores de sinais digitais (DSC), são processadores de sinais digitais (DSP),
porém esta sigla se refere à aplicação (o processamento de sinais digitais), já a denominação
controladores de sinais digitais (DSC) refere-se ao hardware. São denominados
controladores de sinais digitais, porque em sua pastilha há outros periféricos além do
processador, mas possuem as inovações dos DSPs.
Os controladores de sinais dsPIC da Microchip são dispositivos que absorvem as
principais características do mundo dos microcontroladores (o baixo custo e a variedade de
20
periféricos internos), unindo com a arquitetura voltada para o processamento em tempo
real dos DSP´s, assim, criando uma linha de dispositivos intermediários. Possuem
encapsulamentos pequenos, como o formato PDIP, utilizado em matrizes de contato, o que
não é comumente visto nos DSP´s.
São designados para aplicações de áudio e controle de movimentos (motores,
encoders, etc.), de forma geral, para as aplicações que os microcontroladores não são
capazes de atender. Eles possuem o melhor dos dois mundos dos microcontroladores
(tamanho, custo e periféricos internos) e DSP´s (velocidade de processamento, MAC).
Após esse detalhamento da unidade central de processamento de um sistema
embarcado, sabe-se que esta pode ser considerada uma caixa-preta que realiza o controle
de sinais de entrada, saída ou ambos. Esses sinais podem ser de diversas tensões diferentes,
pois dependem das especificações da unidade de controle. Geralmente estão agrupados em
categorias devido à temperatura do local de trabalho e suas tensões de entrada e saída: uso
espacial, uso militar e de uso geral. Nesta última categoria, as tensões de entrada e saída são
em seu geral de 3,3V ou 5V.
O item a ser controlado pode não trabalhar com a tensão de entrada ou saída da
unidade de controle, então se utiliza uma interface para realizar a conversação das duas
partes.
1.6.2 Processamento em Tempo Real
O processamento em tempo real acontece num sistema cujo funcionamento se dá não
apenas pela execução correta das operações realizadas pelo processador, mas também por
um tempo ideal de processamento dessas operações. Isso quer dize que, entre o processo
de aquisição dos dados e a entrega dos resultados, vai existir um tempo máximo em que o
processador deve manipular tais dados. O processamento desses dados deve ser efetuado
antes da chegada de novos dados.
Quando se fala em tempo real, não quer dizer que a aquisição, processamento e
entrega devem acontecer de forma instantânea. O tempo máximo de processamento vai
depender do objetivo do sistema. Apesar disso, o processamento em tempo real geralmente
acontece na ordem de microsegundos (µs) ou nanosegundos (ns). Tempo tão curto, que
parece que o evento é instantâneo.
21
As tarefas em sistemas de tempo real são divididas entre tarefas com prazo: rígido
(hard deadline) e flexível (soft deadline). Os significados dessas duas tarefas são discutíveis,
pois se encontram diversas definições na literatura sobre o assunto. Uma delas fala que o
prazo é rígido se uma falha no cumprimento do prazo for fatal ou catastrófica, como em
sistemas de controle de vôo ou gerenciamento de usinas nucleares. Outra definição para
prazo rígido é no caso da ocorrência de falhas que levem o resultado do processamento se
tomar inútil. Já para o prazo flexível, considera-se uma falha um erro aceitável de
processamento. Esses erros são medidos como qualidade do serviço. Muitos erros
correspondem a uma baixa qualidade de serviço.
Esse tipo de processamento geralmente exige grande capacidade computacional,
devido à necessidade da resolução de equações. Os microcontroladores têm dificuldades
para executar tal processamento. Assim, essa tarefa fica direcionada aos DSP's, DSC's e
processadores de alto desempenho. É possível ainda alcançar esse tipo de processamento
usando técnicas de associação de processadores menos velozes, é o chamado
processamento
distribuído
(comumente
realizado
por
uma
associação
de
microcomputadores). É um agrupamento de processadores, que tem um principal (um
chefe), que distribui o processamento entre os demais. Realizando um processamento
paralelo de funções, diminuindo o tempo de resolução de operações complexas. Não sendo
uma solução tão trivial, não é muito adotada, apenas em casos específicos.
1.6.3 Conversão A/D e D/A
De um modo geral, os sinais encontrados no mundo real são contínuos ou analógicos,
pois variam no tempo de forma contínua, como, por exemplo, a intensidade luminosa de um
ambiente que se modifica com a distância, a aceleração de um carro de corrida, etc. Os
sinais manipulados por computadores e sistemas embarcados são os digitais, por exemplo,
um computador processando dados lidos de um drive de CD-ROM, os dados enviados para a
tela do monitor, etc. A conversão analógico-digital (A/ D) é o processo que possibilita a
representação dos sinais analógicos no mundo digital. Desta forma é possível utilizar os
dados extraídos do mundo real para cálculos ou operar seus valores.
Em geral, o conversor A/ D está presente internamente nos processadores e
controladores de sinais digitais e alguns microcontroladores, mas também existem circuitos
integrados dedicados a este fim. Basicamente é um bloco que apresenta portas de entrada e
22
saída. A entrada recebe sinais elétricos de forma contínua e possui uma faixa de tensão de
entrada máxima. Nos microcontroladores que possuem um conversor A/D e operam na faixa
de 5V, geralmente a faixa de tensão, aceita sinais elétricos entre [0V a +5V].
Na entrada o sinal é amostrado, a cada intervalo de tempo fixo (determinado pela
freqüência de amostragem) e é disponibilizado certo valor que representa o sinal original
naquele momento (quantização). Estas características estão relacionadas à precisão do
conversor. Para ilustrar essa situação tem-se a Figura 1.7. Imagine que você queira mostrar a
temperatura de um forno num display de cristal líquido (LCD). Para isso seriam necessários
alguns componentes eletrônicos. Os mais expressivos são: um transdutor (sensor de
temperatura), um display de cristal líquido (LCD), um processador digital e um conversor
analógico digital.
Figura 1.7 – Diagrama de blocos de uma Conversão A/D.
A temperatura é um sinal analógico. O sensor de temperatura converte a temperatura
em um sinal de impulsos elétricos analógicos. O conversor A/ D recebe esse sinal e o
transforma em sinal digital, através da amostragem, entregando ao processador. Este, por
sua vez, manipula esses dados e envia-os para o display, mostrando em graus a temperatura
do forno. Ainda nesta figura é possível verificar três importantes etapas: a aquisição, a
amostragem e o processamento. A Figura 1.8 mostra a representação daquele sinal
analógico e seu equivalente na forma digital.
Figura 1.8 – Representação do sinal analógico e digital.
23
A informação digital é diferente da sua original contínua em dois aspectos fundamentais:
É amostrada porque é baseada em amostragens, ou seja, são realizadas leituras em um
intervalo fixo de tempo no sinal contínuo;
É quantizada porque é atribuído um valor proporcional a cada amostra.
Explorando um pouco mais o caso do forno, a Figura 1.9 detalha um pouco mais as três
etapas mais importantes do processo, já citadas. Neste diagrama de blocos, o sinal analógico
é capturado pelo transdutor (sensor), em seguida passa por um filtro, denominado de antialias, a fim de diminuir os ruídos. A chave representa a freqüência de amostragem do
conversor A/ D, sincronizado com um clock (relógio). O conversor A/ D faz a conversão no
sinal entregando ao processador o sinal digitalizado.
Figura 1.9 – Diagrama de blocos da conversão A/D.
A freqüência de amostragem é o número de amostras capturadas em um segundo.
Esta freqüência é dada em Hertz (Hz) e é considerada adequada quando se pode reconstruir
o sinal analógico razoável a partir das amostras obtidas na conversão.
A taxa de conversão ou freqüência de amostragem é de suma importância para o
processamento de sinais reais. Para obter uma taxa de amostragem adequada, existe o
teorema da amostragem. Ele indica que um sinal contínuo x(t) pode ser amostrado
adequadamente se tiver banda limitada, ou seja, seu espectro de freqüência não pode
conter freqüências acima de um valor máximo (Fmáx - freqüência máxima). Além disso, outro
ponto importante é que a taxa de amostragem (Fa - freqüência de amostragem) deve ser
escolhida para ser no mínimo duas vezes maior que a freqüência máxima (Fmáx). Por
exemplo, para representar um sinal de áudio com freqüências de até 10kHz, o conversor
A/D deve amostrar esse sinal utilizando uma freqüência de amostragem (Fa) de no mínimo
24
20kHz. Para melhor entendimento, vê-se como funciona um conversor A/ D de 4 bits (Figura
1.10).
Figura 1.10 – Conversor A/D de 4 bits.
Com 4 bits o máximo representável é o número 16. Isso quer dizer que tem-se uma
faixa de [0 a 15] (não sinalizado) ou [+7 a -8] (sinalizado). Nesse conversor fictício, tem-se
uma variação a cada 1 volt. A Figura 1.10 mostra um sinal de áudio de 200 Hz variando de
[+7 a -8] volts, que será capturado por um microfone. Conforme o teorema da amostragem,
seria necessária uma freqüência de amostragem de 400 Hz. Lembrando que, se o sinal de
áudio possuísse amplitude maior que a faixa representável do conversor A/ D [+ 7V e -8V],
então não seria possível converter tal sinal.
Existe também o conversor digital-analógico (D/ A), que possui todas as características
do conversor A/ D, os quais diferem apenas porque o D/ A transforma um sinal digital em um
analógico. Por exemplo, em uma aplicação de áudio, um microfone captura o áudio e o envia
a um conversor A/ D, que entrega o sinal amostrado e quantizado a um processador digital.
Este último efetua diversas operações com o sinal de áudio. Só então o processador envia ao
conversor D/ A, para remontar o sinal analógico a partir do sinal digital, para ser reproduzido
em um alto-falante.
1.6.4 Segurador de Ordem Zero
As variáveis a serem manipuladas digitalmente precisam ser amostradas e convertidas
em valores numéricos, uma vez que estas grandezas são de características analógicas. As
amostras são atualizadas a cada intervalo de amostragem com a chegada de nova amostra.
Dessa forma, precisa-se de dispositivos que possuam a característica de amostrar e segurar o
sinal analógico para que não ocorram erros no momento da conversão do sinal analógico em
digital por intermédio do conversor A/D. Esse dispositivo chama-se amostrador e retentor de
25
dados (S/ H - sample-and-hold). Basicamente eles têm a função de coletar as amostras
(sample) e mantê-las constante (hold) durante o intervalo de amostragem e também são
utilizados na saída de conversores D/A.
Um dos dispositivos de reconstituição de sinais mais utilizados em controle digital é o
ZOH (zero order hold), assim chamado devido ao fato de sua saída ser uma interpolação de
ordem zero das amostras de entrada. Um dispositivo de S/ H pode ser representado por um
amostrador ideal seguido de um ZOH.
1.6.5 Efeito da Amostragem
Normalmente filtros analógicos passa-baixa são colocados antes da amostragem do
sinal analógico pelo conversor A/ D, sua função é reduzir as componentes de altas
freqüências no sinal a fim de prevenir o fenômeno chamado de aliasing. Esse efeito ocorre
em sistemas amostrados, quando um sinal de alta freqüência assume a identidade de um
sinal de freqüência menor. Para evitar este inconveniente que ocasiona erros de
interpretação do sinal amostrado, deve-se respeitar o teorema da amostragem de Shannon,
cujo enunciado diz que é possível reconstruir teoricamente um sinal a partir de suas
amostras se a freqüência de amostragem for maior que 2 vezes a componente de maior
freqüência contida no sinal amostrado. Em projetos de controle digital, normalmente
colocam-se filtros passa-baixa, chamados filtros antialiasing, cuja freqüência de corte
localiza-se na metade da freqüência de amostragem do conversor A/ D. Desta forma evita-se
que qualquer componente de freqüência elevada seja amostrada e passe a representar uma
outra componente de freqüência diferente (aliasing), podendo prejudicar e interferir na
dinâmica das malhas de controle.
1.6.6 Ponto Fixo e Ponto Flutuante
Uma das primeiras decisões a ser feita em uma aplicação é sobre quando utilizar um
processador de ponto fixo ou flutuante. Em geral arquiteturas em ponto flutuante são mais
caras que as de ponto fixo, este seria um dos argumentos a favor dos pontos fixos. Outro
argumento bastante utilizado refere-se ao fato de que o formato em inteiro é ideal em
aplicações reais onde se utilizam conversores A/ D e D/ A, pois estes normalmente convertem
grandezas analógicas em números de ponto fixo e vice-versa. Entretanto o ponto flutuante
permite representar adequadamente escalas com grandes variações, ou seja, permite uma
26
faixa dinâmica maior e ainda melhor precisão por apresentar um número de bits mais
elevado. A principal característica na representação em ponto flutuante é que os números
não são uniformemente espaçados. Desta forma, entre números grandes o incremento pode
ser maior, mas na representação entre números muito pequenos, empregam-se
espaçamentos pequenos. Esta flexibilidade em representar números pequenos e grandes é a
principal vantagem da utilização de números em ponto flutuante. Sendo assim, a aritmética
em ponto flutuante traz como benefício principal a facilidade de operação e manipulação em
aplicações que requeiram manuseio com diferentes magnitudes numéricas, por exemplo,
em situações que utilizam excessivas operações de produtos e acumulações, como filtros e
controladores digitais. Se utilizar aritmética de ponto fixo, o projetista deverá encarregar-se
de se certificar de que a cada operação os valores envolvidos estejam devidamente
representados e também deve ser considerada em cada operação a possibilidade de
ocorrerem overflow/underflow e os erros de arredondamento. Cabe salientar que os
problemas ocasionados pelo comprimento finito da palavra utilizada (16, 32 bits...) para se
representar os valores numéricos ocorrem em ambas as operações aritméticas, tanto nas de
ponto fixo como nas de ponto flutuante.
1.6.7 Processamento Digital de Sinais
O processamento digital de sinais manipula um sinal digitalizado vindo de um sistema
contínuo (analógico) que foi amostrado e quantizado. Após a conversão A/ D, um sinal
contínuo passa a ser representado por um conjunto de valores numéricos discretos. Sendo
assim, mais fácil e conveniente realizar manipulações e transformações matemáticas com
esse conjunto de números, do que se eles estivessem no domínio analógico. Nesse tipo de
processamento, observam-se algumas vantagens como a integrabilidade, flexibilidade,
repetibilidade, precisão e o processamento de alta complexidade. Como desvantagens podese citar a necessidade de utilizar conversor analógico-digital (A/ D) e digital-analógico (D/ A),
filtros anti-alias, limitação em freqüência e ruídos de quantização.
Para que seja processado algum sinal digital, é necessário um sinal físico. Também
chamado de sinal real, pode-se citar o som, a intensidade luminosa, a temperatura, a
pressão atmosférica, etc. Esses sinais são capturados por um transdutor que converte tal
sinal físico em sinal elétrico. Desta forma pode-se dividir o processamento digital de sinais
27
nas seguintes etapas: aquisição, amostragem e processamento. Quanto aos sinais analógicos
e digitais, a diferença entre eles pode ser respondida, analisando-se a Figura 1.11, na qual
pode-se perceber um gráfico da tensão (V) pelo tempo (t). Isso representa uma tensão
variando no tempo, como, por exemplo, a energia que sai da fonte de um computador.
Neste gráfico tem-se a função contínua V da variável contínua t, então tem-se um sinal
analógico V(t).
Representando o mesmo sinal de forma digital, tem-se uma função discreta Vk da
variável discreta amostrada t k sendo k um inteiro (Vk = V(t k)) e representa a iteração durante
o processo de amostragem. A Figura 1.11 mostra o sinal digitalizado.
Figura 1.11 – Sinal contínuo e sinal digitalizado.
Com o processamento digital de sinais é possível realizar várias ações, como a remoção
da média, ampliação do sinal, retificação, normalização no tempo ou na amplitude, na
suavização, na derivação e na integração. A Figura 1.12 ilustra a retificação e amplificação de
um sinal de áudio. Estes são os chamados de processamento no tempo. Existe ainda o
processamento na freqüência e um exemplo típico são as filtragens digitais, que podem ser
algoritmos simples até alguns muito complexos.
Figura 1.12 – Retificação e amplificação de um sinal de áudio.
28
1.6.8 Linguagem de Programação C
A linguagem de programação C tem se tornado cada dia mais popular, devido à sua
versatilidade e ao seu poder. Uma das grandes vantagens do C é que ele possui tanto
características de “alto nível” quanto de “baixo nível”. A linguagem de programação C foi
desenvolvida no início dos anos 70 nos Laboratórios AT&T Bell, nos Estados Unidos. A
motivação para que o autor de C, Dennis Ritchie, criasse uma nova linguagem de
programação foi o de desenvolvimento do sistema operacional Unix. C é uma ferramenta
tão básica que praticamente todas as ferramentas suportadas por Unix e o próprio sistema
operacional foram desenvolvidas em C.
A linguagem C acompanhou o ritmo da distribuição do sistema operacional Unix, que
foi amplamente divulgado e livremente distribuído na década de 70. Apesar de haver
compiladores para linguagens mais “tradicionais” na distribuição Unix, aos poucos C foi
ganhando simpatizantes e adeptos. Atualmente, não há dúvidas de que C é uma das
linguagens de programação de maior aceitação para uma ampla classe de aplicações [4].
Um dos grandes atrativos da linguagem C é o balanço atingido entre características
próximas da arquitetura de computadores e características de linguagens de programação
com alto nível de abstração. O ascendente mais remoto de C, Algol 60, desenvolvida por um
comitê internacional, foi uma linguagem que buscava um alto grau de abstração, com
estruturas modulares e sintaxe regular. Por Algol ser “abstrata demais”, variantes surgiram
que buscavam aproximar aquela linguagem um pouco mais da máquina, tais como CPL
(Combined Programming Language), desenvolvida na Inglaterra. Esta linguagem era ainda
muito complexa, o que dificultava seu aprendizado e a implementação de bons
compiladores. BCPL (Basic CPL) buscava capturar apenas as características principais de CPL,
e B (desenvolvida por Ken Thompson nos Laboratórios Bell, em 1970) levava este objetivo
ainda mais adiante. Entretanto, estas linguagens ficaram tão “básicas” que tinham pouca
aplicação direta. Ritchie reincorporou algumas características de alto nível à B, tais como
suporte a tipos de dados, para criar a linguagem C.
A simplicidade de C não restringe, no entanto, a potencialidade de suas aplicações.
Blocos desempenhando tarefas muito complexas podem ser criados a partir da combinação
de blocos elementares, e este mecanismo de combinação de partes pode se estender por
29
diversos níveis. Esta habilidade de construir aplicações complexas a partir de elementos
simples é um dos principais atrativos da linguagem.
O sucesso de C foi tão grande que diversas implementações de compiladores surgiram,
sendo que nem todos apresentavam o mesmo comportamento em pontos específicos,
devido a características distintas arquiteturas de computadores ou a “extensões” que se
incorporavam à linguagem. Para compatibilizar o desenvolvimento de programas em C, o
Instituto Norte-Americano de Padrões (ANSI) criou em 1983 um comitê com o objetivo de
padronizar a linguagem. O resultado deste trabalho foi publicado em 1990, e foi
prontamente adotado como padrão internacional. Além de padronizar aspectos básicos da
linguagem, ANSI-C também define um conjunto de rotinas de suporte que, apesar de não ser
parte integrante da linguagem, deve ser sempre fornecido pelo compilador.
1.7 DSP Utilizado
O objetivo principal deste trabalho é a aplicação de técnicas de controle moderno
através da utilização de meios digitais em conversores de potência, haja vista que a cada dia
isso se torna uma tendência em virtude principalmente da redução de preço dos elementos
microprocessadores e a redução considerável de volume e peso dos equipamentos em
conseqüência de uma maior quantidade de funcionalidades poderem ser atribuídas cada vez
mais a esses dispositivos.
Portanto, a escolha do dispositivo microprocessado é de suma importância em
aplicações desse tipo. Para este trabalho foi então escolhido o dsPIC30F2020 fabricado pela
Microchip com encapsulamento DIP de 28 pinos. Dentre as diversas características dessa
família pode-se citar as principais:
CPU tipo RISC com arquitetura Harvard Modificado;
Conjunto de instruções otimizadas para compiladores C;
83 instruções com modos de endereçamento flexíveis;
Barramento de dados de 16 bits;
Barramento de instruções de 24 bits;
12 Kbytes de memória flash de programa;
512 Bytes de memória RAM;
Registradores de trabalho de 16 bits;
Operação até 30MIPS;
30
32 fontes de interrupção;
Três fontes de interrupção externa;
8 níveis de prioridade selecionáveis para cada interrupção;
Dois acumuladores de 40 bits para processamento avançado;
A operação de multiplicação e acumulação (MAC) é realizada num único ciclo;
Capacidade de drenagem de corrente por pino igual a 25mA;
3 Timers/Contadores de 16 bits, com possibilidade de formar um Timer de 32 bits;
3 módulos SPI;
Módulo I2C;
Módulo UART: Suporta RS-232, RS-485 e LIN 1.2;
Possui 4 geradores PWM interno com 8 saídas, 2 para cada módulo gerador;
Cada gerador PWM possui base de tempo e duty cycle independentes;
Duty cycle com resolução de 1.1ns @ 30 MIPS;
Tempo morto individual para cada gerador PWM;
Modos PWM suportados: Complementar, Push-Pull, Múltiplas-Fases, Fase variável;
Módulo PWM disparado pelo conversor A/D;
Conversor A/D de 10 bits de resolução;
Taxa de conversão de até 2000 KSPS;
A/D com até 8 canais de entrada;
4 comparadores analógicos interno;
A Figura 1.13 mostra a pinagem desse dsPIC.
Figura 1.13 – Pinagem do dsPIC 30F2020 com encapsulamento DIP.
31
Neste trabalho, o dsPIC é responsável por amostrar os sinais de tensão e corrente
provenientes da saída do inversor, processar esses dados através das malhas de tensão e
corrente que são rotinas escritas em linguagem C na interrupção do conversor A/ D,
comparar a saída dos controladores com um sinal Dente-de-Serra interno ao dsPIC e a partir
do módulo PWM gerar os pulsos apropriados que irão acionar os interruptores do inversor
através dos drivers apropriados. A senóide de referência do inversor também é gerada
internamente no dsPIC na rotina de interrupção do Timer 2.
1.8 Conclusão
Neste capítulo foram apresentados os conceitos iniciais referentes ao estudo de
inversores e de sistemas de controle digital. Foram apresentados os principais tipos de
inversores, os principais parâmetros de desempenho de um inversor e o tipo de driver
utilizado nesses conversores.
Além disso, conceitos importantes referentes ao mundo dos microprocessadores
foram apresentados, assim como aspectos fundamentais do processo de aquisição de dados,
processamento e resposta para o mundo analógico.
Por fim, foram descritas as principais características do DSC escolhido para o projeto,
bem com o seu aspecto físico.
Pode-se então concluir que existem diversas topologias de inversores monofásicos de
tensão e que cada uma delas pode ser mais adequada a determinada situação que outras
topologias. Além disso, a utilização de DSP’s no processamento das informações é cada vez
mais freqüente devido a um custo cada vez menor e a uma maior capacidade de
processamento.
32
CAPÍTULO 2
ESTUDO TEÓRICO DO INVERSOR MONOFÁSICO TIPO PONTE COMPLETA
2.1 Introdução
Neste capítulo é apresentado conceitualmente e funcionalmente o conversor CC-CA,
assim como as principais características do inversor monofásico em ponte completa. São
descritas suas etapas de operação, formas de onda e as estratégias de modulação mais
empregadas. Além disso, será apresentado o modelo matemático do inversor e a partir
destes tópicos, as malhas de tensão e corrente vão ser introduzidas no texto. Técnicas de
controle tradicionais encontradas na literatura são descritas e métodos de discretização de
controladores PID são mostrados.
2.2 Topologia do Inversor de Tensão sob Análise
Os conversores CC-CA, também chamados de inversores, têm a função de converter a
tensão CC em uma tensão de saída CA simétrica em amplitude e freqüência desejadas. A
topologia a ser estudada é o inversor monofásico de tensão tipo ponte completa com
modulação unipolar PWM senoidal. Essa topologia aliada à técnica de modulação é capaz de
produzir uma tensão de saída senoidal de valor médio nulo, simétrica em amplitude e
freqüência constante.
Os níveis de tensão ou de corrente de saída podem ser fixos ou variáveis, assim como
sua freqüência de operação. As formas de onda na saída dos inversores são normalmente
não senoidais (retangulares) apresentando alto conteúdo harmônico. Felizmente, com o
desenvolvimento atual dos dispositivos semicondutores de potência de alta velocidade, o
conteúdo harmônico das formas de onda de saída dos conversores CC-CA pode ser
minimizado, e em muitos casos reduzido significativamente, utilizando técnicas específicas
de modulação e filtragem.
As chaves estáticas são controladas tanto na entrada em condução como no bloqueio,
sendo que para potências elevadas são recomendados o uso de IGBTs ou GTOs. Em
potências muito mais elevadas (acima de 1MVA) é normalmente empregado tiristores e,
nessas situações, circuitos de comutação forçada são necessários.
Dentre as várias topologias existentes, a estrutura em ponte completa é a mais
utilizada e adequada para potências elevadas, por possuir características interessantes em
33
relação a esforços de corrente e tensão, dentre outros fatores. O inversor tipo ponte
completa possui esse nome devido à estrutura física desse inversor, cujo diagrama
esquemático é mostrado na Figura 2.1, que utiliza oito semicondutores de potência, sendo
quatro controlados (IGBT’s, MOSFET’s e etc) e quatro não controlados (diodos). Esse
conversor é composto por dois braços e cada braço é composto por dois interruptores e
seus respectivos diodos em antiparalelo [5].
Figura 2.1 – Diagrama esquemático do inversor tipo ponte completa.
Na Figura 2.1, onde os interruptores S1, S2, S3 e S4 são acionados de acordo com uma
estratégia de modulação, a única restrição concerne à condução simultânea dos
interruptores S1 e S3 ou S2 e S4. L representa o indutor e C o capacitor do filtro de saída do
inversor.
Essa estrutura é muito versátil, pois com a utilização de uma modulação apropriada no
comando dos interruptores e estágio de saída adequado, ela pode operar tanto na
conversão CC-CC como na conversão CC-CA. Portanto, o inversor ponte completa pode ser
aplicado no acionamento de motores CC, na implementação de inversores monofásicos e no
estágio intermediário de alta freqüência de fontes CC-CC isoladas. Na estrutura não isolada,
o valor de pico da senóide de saída é inferior ao valor da tensão contínua de entrada. Para
elevar a tensão de saída pode-se, por exemplo, introduzir um transformador no circuito,
como mostrado na Figura 2.2 [6]. Neste trabalho será omitida a presença do transformador,
deixando a isolação elétrica a cargo de outros estágios anteriores ao inversor em um
possível sistema. VCC representa a tensão do barramento de entrada, que pode ser uma
bateria, por exemplo.
34
Figura 2.2 – Inversor tipo ponte completa com transformador elevador.
Para gerar uma onda senoidal a partir de uma tensão contínua, este circuito utiliza-se
da modulação PWM, assim, modula-se os pulsos de maneira a se ter uma senóide em PWM,
isto é feito comparando-se uma senóide com uma onda portadora triangular ou dente-deserra. Daí a onda em PWM passa por um filtro, obtendo-se, então a senóide na saída. Para
tanto, utilizam-se normalmente modulação PWM senoidal bipolar ou unipolar. Estas
modulações geram pulsos de largura variável, de modo que vários harmônicos podem ser
eliminados. Com isso, o filtro deve ter uma freqüência de corte menor que a freqüência da
onda portadora e maior que a freqüência da onda senoidal.
Portanto, o inversor de tensão monofásico em ponte completa, se comparado a outras
estruturas inversores na mesma aplicação, apresenta grande versatilidade e características
únicas, como os baixos esforços de corrente e de tensão nos interruptores, tornando esta
topologia natural para aplicações em potências normalmente acima de 1 kVA. A principal
desvantagem dessa estrutura se concentra na necessidade de se empregar quatro
interruptores. Além disso, os sinais de comando devem ser isolados, pois não possuem a
mesma referência no circuito.
A Figura 2.3 mostra o diagrama de blocos do Inversor Ponte Completa. A partir do
circuito de potência, a tensão de saída do inversor passa por um circuito de amostragem de
tensão que adéqua o sinal de saída do inversor a um nível que possa ser lido pelo pino do
microcontrolador. Os dados lidos pelo conversor A/ D são então processados através de uma
rotina de controle específica existente no código do microcontrolador, e a saída do controle
levada até o circuito de driver para o acionamento dos interruptores. A partir desse processo
que é realizado continuamente tem-se a regulação da tensão de saída.
35
Figura 2.3 – Inversor ponte completa com controle Digital.
A seguir é apresentada a análise qualitativa e quantitativa do conversor, determinando
as equações que descrevem os esforços nos semicondutores e elementos passivos. Serão
descritas suas etapas de operação, formas de onda e as estratégias de modulação mais
empregadas.
2.3 Estratégias de Modulação
O princípio de funcionamento do inversor de tensão está intimamente ligado à
estratégia de modulação, que é o processo de mudança de estado dos componentes
eletrônicos em um conversor. Diversas são as estratégias de modulação propostas, entre as
quais se podem citar a modulação por pulso único, por largura de pulsos múltiplos e iguais
entre si, por largura de pulsos otimizada (PWM otimizada) e por largura de pulso senoidal
(SPWM).
Existem duas técnicas muito comuns conhecidas na literatura de tipos de modulação
aplicáveis ao Inversor Ponte Completa: a modulação bipolar e a modulação unipolar [6].
Essas duas técnicas de modulação permitem a obtenção de uma tensão de saída alternada
com valor eficaz variável a partir de uma tensão de entrada constante, utilizando o princípio
da variação da largura do pulso de comando dos interruptores do inversor tipo ponte
completa.
A modulação por largura de pulso varia a razão cíclica aplicada aos interruptores em
uma alta freqüência de comutação com o intuito de suprir uma determinada tensão ou
corrente na saída em baixa freqüência, ou seja, tem-se como objetivo criar uma seqüência
de pulsos que devem ter o mesmo valor fundamental de uma referência desejada. Todavia,
36
nesta seqüência de pulsos existem componentes harmônicos indesejados que devem ser
minimizados.
Entre os pontos positivos da vasta utilização da SPWM na indústria destacam-se a
operação em freqüência fixa e o conteúdo harmônico deslocado para altas freqüências
utilizando-se uma portadora. O emprego de freqüência fixa aperfeiçoa o projeto dos
componentes magnéticos, tendo em vista que em aplicações onde a freqüência é variável os
componentes magnéticos devem ser projetados para toda a faixa de freqüência utilizada.
Quando o conteúdo harmônico se concentra nas altas freqüências tem-se uma diminuição
de dimensão, peso e custo dos componentes do filtro.
Na SPWM de dois níveis, também conhecida como SPWM bipolar, o sinal de referência
Vref é comparado com um sinal triangular ou dente-de-serra Vtri na freqüência de comutação
de modo a se obter os pulsos de comando para os interruptores do inversor. No caso do
inversor em ponte completa, quando o valor de referência é maior do que o valor da
portadora, o respectivo braço comandado é comutado para o valor da tensão contínua do
barramento de entrada. Quando o valor de referência é menor que o valor da portadora
tem-se o valor da tensão de entrada invertido na saída do estágio inversor.
Este tipo de modulação é mais simples de ser elaborada, mesmo em um
microprocessador uma vez que é necessário apenas uma onda portadora e um sinal
modulante senoidal. Um ponto negativo da modulação indicada é que o volume do
magnético do indutor filtro é maior que do indutor filtro usando modulação a três níveis. O
comando dos interruptores é bastante simples visto que é necessário apenas um único
comando para um par de interruptores (com o devido tempo-morto). A Figura 2.4 mostra a
comparação dos sinais Vtri e Vref e a respectiva tensão na saída do bloco inversor (VAB).
Figura 2.4 – PWM senoidal bipolar.
37
A largura dos pulsos enviados para os interruptores depende da amplitude da referência
senoidal de tensão, fazendo com que a tensão VAB tenha uma componente fundamental na
mesma freqüência da tensão de referência e os harmônicos deslocados em torno da
freqüência da portadora Vtri. Esta modulação apresenta a característica de possuir um único
comando para cada dois interruptores, como por exemplo, S1 e S4. Nos outros dois
interruptores pode-se usar, idealmente, um comando complementar.
De maneira similar, a modulação por largura de pulso senoidal de três níveis, ou SPWM
unipolar, também visa deslocar o conteúdo harmônico para as altas freqüências. A diferença
é que os interruptores S1 e S2 ou S3 e S4 também podem conduzir simultaneamente.
O sinal de referência Vref é comparado com um sinal triangular Vtri na freqüência de
comutação de modo a se obter os pulsos de comando para os interruptores de uma braço
do inversor, S1 e S3, por exemplo. Já os pulsos de comando para o outro braço são obtidos
através da comparação do sinal de referência com uma outra portadora triangular Vtri2,
complementar a Vtri. Outra alternativa é comparar duas senóides de referência defasadas
entre si de 180o com a mesma portadora.
Esta modulação é aplicável somente para inversores do tipo ponte completa,
consistindo na aplicação de três níveis de tensão +VCC, zero e -VCC na entrada do filtro de
saída do inversor. Esta modulação apresenta uma vantagem porque permite a redução do
volume do filtro, uma vez que está submetido ao dobro da freqüência de comutação dos
interruptores. A desvantagem é a necessidade de uma segunda portadora defasada de 180o
da portadora principal para propiciar a comutação adequada dos interruptores. Assim, é
necessário que sejam enviados dois comandos para cada par de interruptores. A modulação
por largura de pulso senoidal unipolar pode ser visualizada na Figura 2.5.
Figura 2.5 – PWM senoidal unipolar.
38
Embora normalmente se utilize a portadora triangular, pode-se aplicar uma portadora
do tipo dente-de-serra. A diferença entre esses tipos de portadora á apresentada em [7],
onde é mostrado que a portadora do tipo dente-de-serra apresenta resultados inferiores
com relação ao espectro harmônico da tensão VAB, tanto para a modulação unipolar quanto
para a bipolar.
Observando-se as Figura 2.4 e Figura 2.5 nota-se que o número de pulsos na tensão
VAB é duas vezes maior na modulação três níveis do que o encontrado na dois níveis, para a
mesma freqüência de comutação. Este número está diretamente relacionado com a
freqüência das harmônicas de VAB.
A modulação SPWM unipolar apresenta muitas vantagens perante a bipolar.
Primeiramente, a ondulação de corrente e tensão nos componentes do filtro de saída é
significativamente menor, o que reduz os componentes passivos usados na filtragem do sinal
de saída. Apresenta também menores perdas nos interruptores e emissões eletromagnéticas
reduzidas, haja vista que as derivadas de corrente e tensão são menores, pois a tensão VAB
varia somente VCC em cada transição, enquanto na SPWM bipolar a variação é de 2VCC. O
desempenho com relação ao espectro harmônico da tensão VAB também apresenta
resultado superior [7].
2.4 Etapas de Operação
As etapas de operação do conversor em ponte completa dependem da modulação
empregada e da carga. Sabe-se que a carga do inversor de tensão deve possuir característica
de fonte de corrente. Portanto, para efeitos de simplificação, na descrição das etapas a
seguir considera-se a carga como uma fonte de corrente de valor I0. O interruptor será
considerado ideal tendo um diodo ideal em antiparalelo [6].
2.4.1 SPWM Bipolar
Nesta modulação, o inversor apresenta quatro etapas de operação, sendo que cada
semiciclo da tensão de saída é responsável por duas etapas. Considera-se que durante todo
o semiciclo positivo a corrente não muda de sentido na carga. Após se inverter, permanece
no mesmo sentido durante todo o semiciclo negativo da tensão de saída. As etapas de
operação referentes ao semiciclo negativo da tensão de saída não serão descritas por serem
análogas às do semiciclo positivo.
39
Na primeira etapa de operação os interruptores S1 e S4 conduzem a corrente de carga.
Os demais semicondutores encontram-se bloqueados. A Figura 2.6 representa esta etapa.
Figura 2.6 – Primeira etapa.
A segunda etapa de operação se inicia em t1 com o bloqueio dos interruptores S1 e S4. Os
diodos D2 e D3 são polarizados diretamente e assumem a corrente de carga. Apesar dos
interruptores S2 e S3 serem comandados a conduzir em t 2, a corrente não muda de sentido
durante este semiciclo fazendo com que os mesmos não conduzam. A segunda etapa pode
ser visualizada na Figura 2.7.
Figura 2.7 – Segunda etapa.
Após o comando dos interruptores S2 e S3 ser interrompido em t 3, os interruptores S2 e
S4 são comandados a conduzir em t 4 e tem-se novamente a primeira etapa de operação, já
ilustrada na Figura 2.6.
40
A forma de onda da tensão VAB, referente ao semiciclo positivo da tensão de saída do
filtro, é mostrada na Figura 2.8. Utilizam-se os tempos descritos nas etapas de operação sem
se preocupar com a escala, visando uma melhor visualização das etapas. O conversor é
considerado operando em um índice de modulação arbitrário.
Figura 2.8 – Forma de onda da tensão VAB e comando dos interruptores.
Na descrição das etapas de operação do inversor considerou-se a carga como sendo
uma fonte de corrente que mudava de sentido conforme o semiciclo da tensão de saída. No
caso de uma carga com característica indutiva as etapas de funcionamento do inversor
mudam quando há regeneração de energia, pois a corrente de carga estaria, neste caso,
defasada da tensão VAB.
41
2.4.2 SPWM Unipolar
O inversor apresenta oito etapas de operação com o emprego desta modulação, sendo
quatro referentes ao semiciclo positivo da tensão de saída e quatro ao semiciclo negativo.
Ressalta-se que os interruptores e diodos são considerados ideais. As etapas de operação
referentes ao semiciclo negativo da tensão de saída não serão descritas por serem análogas
às do semiciclo positivo.
Na primeira etapa de operação os interruptores S1 e S4 conduzem a corrente de carga.
Os demais semicondutores encontram-se bloqueados. A Figura 2.9 representa esta etapa.
Figura 2.9 – Primeira etapa.
O interruptor S4 é bloqueado em t 1 habilitando o diodo D2 a entrar em condução,
iniciando a segunda etapa de operação. Nota-se que devido ao sentido da corrente da carga,
o interruptor S2 não chega a conduzir, mesmo comandado em t 2. Apresenta-se então uma
roda livre na carga. A segunda etapa está representada na Figura 2.10.
Figura 2.10 – Segunda etapa.
42
Em t 3 o interruptor S2 é bloqueado e em t 4 o interruptor S4 é novamente comandado a
conduzir assumindo a corrente que circulava pelo diodo D2, iniciando a terceira etapa de
operação, que é idêntica a primeira e está representada na Figura 2.9.
Na quarta etapa de operação o interruptor S1 é bloqueado em t5 e o diodo D3 entra em
condução assumindo a corrente de carga. O interruptor S3, apesar de comandado em t 6, não
chega a conduzir devido ao sentido da corrente. Esta etapa está apresentada na Figura 2.11.
Figura 2.11 – Quarta etapa.
Em t 7 o interruptor S3 é bloqueado e em t 8 o interruptor S1 é novamente comandado a
conduzir assumindo a corrente que circulava pelo diodo D3. Tem-se novamente a primeira
etapa de operação.
Como mencionado na modulação bipolar, considerou-se a carga como sendo uma
fonte de corrente que mudava de sentido conforme o semiciclo da tensão de saída. No caso
de uma carga com característica indutiva, as etapas de funcionamento do inversor mudam
quando há regeneração de energia.
Na Figura 2.12 são mostradas as principais formas de onda para a modulação unipolar.
A forma de onda da tensão VAB, que é a tensão de entrada do filtro LC do inversor, refere-se
ao semiciclo positivo da tensão de saída do filtro, considerando o conversor operando em
um índice de modulação arbitrário. Da mesma maneira, utilizam-se os tempos descritos nas
etapas de operação sem preocupar-se com a escala, visando uma melhor visualização das
etapas. A forma de onda em cada um dos interruptores do inversor também é mostrada
para o período considerado observando-se o fato de que a tensão reversa (PIV) sobre cada
um deles é igual à tensão do barramento de entrada do inversor.
43
Figura 2.12 – Forma de onda da tensão VAB e comando dos interruptores.
2.5 Princípio de Funcionamento do Modulador Discreto
O modulador digital possui uma característica semelhante à utilizada no modulador
analógico, na qual se tem uma onda portadora triangular ou dente-de-serra e um sinal de
controle a ser comparado para produzir os pulsos nos interruptores. A grande diferença
entre os dois reside no formato de como estes sinais são produzidos. Ambos os sinais são
quantizados, ou seja, possuem um valor bem definido, diferente do analógico que possui
uma infinidade de possíveis valores.
Figura 2.13(a) – Portadora triangular e sinal de controle sob o ponto de vista discreto.
44
Figura 2.13(b) – Pulsos de comando para os interruptores.
O modulador apresentado na Figura 2.13 pode ser encontrado em uma diversidade de
DSPs (Texas, Motorola, Microchip, etc). Este tipo de modulador pode atualizar a razão cíclica
no início ou no meio de cada período de modulação, logo a condição de comparação pode
ser realizada na subida e na descida do contador. Na ocorrência da comparação o estado do
sinal do interruptor é atualizado.
2.6 Características Estáticas do Inversor
As ondas moduladoras, que geram a referência senoidal, geralmente são de baixa
freqüência (f = 1/ T) e a onda portadora geralmente opera em uma freqüência bastante
elevada (fs = 1/Ts), maior que as ondas moduladoras [8].
A freqüência da onda moduladora senoidal define a freqüência da componente
fundamental da tensão de saída, enquanto que a freqüência da onda portadora define a
freqüência de comutação das chaves estáticas. A tensão de saída, que é aplicada à carga, é
formada por uma sucessão de ondas retangulares de amplitude igual à tensão de
alimentação CC de entrada.
Segundo a Figura 2.5, as duas formas de onda são sincronizadas e a relação entre elas,
( m f ), é definida como sendo a razão da freqüência de modulação, conforme indicado na
expressão (2.1).
mf
fs
f
Assim, aumentando-se a freqüência da onda portadora, mf
(2.1)
aumenta e
conseqüentemente também aumenta a freqüência de comutação dos interruptores. Isso
permite deslocar as componentes harmônicas para freqüências mais elevadas, facilitando a
filtragem.
45
O índice de modulação é dado pela relação entre a tensão de pico da moduladora
senoidal VC _ pk , e a tensão de pico da portadora Vtri _ pk , e é dada pela expressão (2.2):
M
VC _ pk
Vtri _ pk
(2.2)
Em geral VC _ pk é variável e Vtri _ pk é mantido constante. Logo, teoricamente o
parâmetro M pode variar de zero a um. Se M
1 , a amplitude da componente fundamental
da tensão de saída apresenta uma relação linear com o índice de modulação, a qual é
determinada pela expressão (2.3):
M
Vo _ max
(2.3)
VCC
Onde Vo _ max é a amplitude da componente fundamental da tensão de saída e VCC é a
tensão do barramento que corresponde à tensão de entrada do inversor [8].
Assim, a razão cíclica média instantânea pode ser dada pela equação (2.4) e sua
variação em um semiciclo da tensão é mostrada na Figura 2.14 para diversos índices de
modulação.
Dinv (t )
No qual, Dinv e
1
.(1 M .sen( .t
2
))
(2.4)
são a razão cíclica do inversor e ângulo entre a tensão e a corrente,
respectivamente.
Figura 2.14 – Variação da razão cíclica média instantânea para meio período da tensão de saída.
46
A tensão de saída do inversor é dada pela função:
vo (t ) Vo _ pk .sen( t )
(2.5)
No caso da corrente, considerando uma carga resistiva, tem-se a função:
io (t )
I o _ pk .sen ( t )
(2.6)
Onde I o _ pk representa a corrente de pico de saída.
2.6.1 Filtro LC de Saída
Devido à estratégia de modulação escolhida um filtro deve ser colocado na saída do
inversor com a finalidade de entregar para a carga uma tensão senoidal sem distorções. Para
conseguir tal feito foi eleito um filtro passa baixa LC. Sua escolha é justificada pela sua
característica de minimização dos reativos requeridos pelo inversor, da variação da tensão
na carga, dos custos do circuito de filtragem e do peso e volume do filtro comparado às
outras topologias de filtros passivos [8]. A Figura 2.1 mostra o filtro LC conectado à saída do
inversor.
Sendo Rout a resistência de carga conectada no inversor, a função de transferência do
filtro é dada por:
1
G ( s)
L.C.s 2
s.
L
Rout
1
(2.7)
A freqüência angular natural de oscilação do filtro é dada por:
1
L .C
o
(2.8)
2.6.2 Indutância L
Para o dimensionamento do indutor do filtro, é feita a consideração que o fator de
potência de saída é unitário,
0 . A tensão no indutor é descrita pela equação (2.9):
L.
diL
dt
vo (t ) Vcc
0
(2.9)
47
Considerando que a tensão de saída senoidal, v o (t ) é descrita pela equação:
vo (t ) Vo _ pk .sen( t )
(2.10)
Substituindo a equação (2.10) na equação (2.9):
iL
t
L.
No qual
Vo _ pk .sen( .t ) Vcc
0
(2.11)
t é o intervalo de condução do interruptor e iL é a variação de corrente no
indutor L.
O intervalo de condução do interruptor é definido em função do ciclo de trabalho do
interruptor:
t
D inv ( t ).T sinv
(2.12)
No qual Tsinv é o intervalo de comutação do interruptor do inversor e Dinv ( t ) é a razão
cíclica média instantânea do interruptor:
1
.(1 M .sen( .t
2
Dinv (t )
))
(2.13)
Substituindo (2.13) em (2.12) e depois o resultado sendo colocado em (2.11), é
possível obtermos a variação de corrente no indutor:
iL ( t )
1 (Vcc Vo _ pk .sen( t )).(1 M .sen( t ))
.
.Tsinv
2
L
Resolvendo a função para
modulação M
Vo _ pk
Vcc
t
(2.14)
0 , ponto de valor máximo, e substituindo o índice de
, é encontrada a variação máxima de corrente no indutor.
iLmax
1 Vcc
.
2 L. f s
(2.15)
No qual f s é a freqüência de comutação dos interruptores. Assim, a indutância é dada
por:
L
Vcc
2. f s . i Lmax
(2.16)
48
2.6.3 Capacitância C
Para a determinação adequada da capacitância do filtro, deve-se levar em
consideração que pequenos valores permitem alta regulação da tensão de saída e a corrente
do inversor ligeiramente superior à corrente de carga. Em contrapartida, para um valor
elevado da capacitância, resulta em uma baixa regulação da saída e um grande aumento da
corrente do inversor sobre a corrente de carga [9].
Com a indutância do filtro já calculada, o valor da capacitância pode ser determinado
pela equação (2.8) com a escolha da freqüência natural de oscilação do filtro. Essa
freqüência aparece na literatura como sendo adotada 10 vezes menor que o dobro da
freqüência de comutação para essa topologia com a modulação PWM senoidal unipolar.
Entretanto, levando em consideração que o inversor vai alimentar cargas com característica
não linear, o valor de 20 vezes menor é adotado.
2. .
o
2. f s
20
(2.17)
Substituindo a equação (2.8) na equação (2.17) é encontrado o valor da capacitância
do filtro:
(
C
10 2
)
2. . f s
L
(2.18)
2.7 Determinação dos Esforços nos Componentes do Inversor
A partir da análise das etapas de operação e das formas de onda apresentadas, são
determinados os principais esforços de tensão e corrente sobre os componentes do circuito
do inversor [8].
2.7.1 Interruptores S1-S4
Os esforços de tensão e corrente são calculados para o interruptor S1. Os esforços para
os interruptores S2, S3, e S4 são os mesmos de S1.
A tensão máxima sobre os interruptores é a tensão do barramento CC:
VS 1_ max
VCC
(2.19)
49
A corrente que atravessa o interruptor é a mesma que passa pelo indutor no período
em que está em condução. É possível desprezar a variação de corrente no indutor, assim
essa corrente torna-se próxima da corrente de saída.
Aplicando a definição de valor médio, a corrente pode ser expressa por:
1
. io (t ).Dinv (t )dt
2 0
I S 1_ med
(2.20)
Substituindo as expressões (2.6) e (2.13) na expressão (2.20), tem-se:
I S 1_ med
I o _ pk .(
1
2
M
)
8
(2.21)
De maneira semelhante que fora encontrada a corrente média, a corrente eficaz é
obtida através da corrente de saída nos momentos em que o interruptor está fechado.
Assim, aplica-se a definição de valor eficaz:
1
. (io (t ).Dinv (t )) 2 dt
2 0
I S 1_ rms
(2.22)
Substituindo as expressões (2.6) e (2.13) na expressão (2.22), tem-se o valor eficaz
dado por:
I o _ pk
I S 1_ rms
24
. 3.(9.M 2
64
.M 12)
(2.23)
A corrente de pico que circula através dos interruptores é a corrente de saída somada
a variação de corrente no indutor:
I S 1_ pk
I L max
2
I o _ pk
(2.24)
2.7.2 Diodos em Antiparalelo dos Interruptores S1-S4
Os esforços de tensão e corrente são calculados para o diodo D1. Os esforços para os
diodos D2, D3 e D4 são os mesmos do diodo D1.
A tensão máxima sobre os diodos é a tensão do barramento CC:
VD1_ max
VCC
(2.25)
A corrente média nos diodos é definida pela seguinte equação:
I D1_ med
1
. io (t ).(1 Dinv (t ))dt
2 0
(2.26)
50
Substituindo as expressões (2.6) e (2.13) em (2.26), tem-se a corrente média:
I D1_ med
I o _ pk .(
1
2
M
)
8
(2.27)
Aplicando a definição de valor eficaz, a corrente no diodo pode ser expressa por (2.28):
I D1_ rms
1
. (io (t ).(1 Dinv (t ))) 2 dt
2 0
(2.28)
Substituindo as expressões (2.6) e (2.13) em (2.28), tem-se:
I D1_ rms
I o _ pk
. 3.(9.M 2
24
64
.M 12)
(2.29)
A corrente de pico no diodo é dada pela equação (2.30):
I D1_ pk
I L max
2
I o _ pk
(2.30)
2.7.3 Esforços no Indutor L
A corrente eficaz no indutor pode ser aproximada pela seguinte equação:
2
I L _ rms
I L max 2
) dt
2
1
. (io (t )
2 0
(2.31)
Substituindo a expressão (2.6) em (2.31), o valor eficaz resulta em (2.32),
aproximadamente:
I L _ rms
I o _ rms
(2.32)
O valor da corrente de pico é determinado pela equação (2.30), assim:
I L _ pk
I D1_ pk
(2.33)
Resultando em:
I L _ pk
I o _ pk
I L max
2
(2.34)
51
2.7.4 Esforços no Capacitor C
A tensão máxima sobre o capacitor é o pico da tensão de saída, assim:
VCap _ pk
Vo _ pk
(2.35)
A corrente eficaz no capacitor pode ser aproximada pelo valor médio da variação de
corrente no indutor, já que toda essa variação deve ser absorvida pelo capacitor. Assim, a
corrente eficaz no capacitor é dada pela expressão (2.36):
I Cap _ rms
1
i ( t) 2
. ( L
) dt
2 0
2
(2.36)
Substituindo a expressão (2.14) na expressão (2.36), resulta no valor eficaz igual a:
I Cap _ rms
1
.(2 M 2 ). iL max
4
(2.37)
2.8 Modelagem Matemática do Inversor
Os conversores são usados normalmente com algum tipo de realimentação, para que a
tensão de saída se mantenha no seu valor pré-determinado frente às variações de tensão de
entrada e corrente de saída. Sistemas realimentados são propícios à instabilidade e de
alguma maneira devem ser usados circuitos que permitam manter de maneira ótima, as
características especificadas do conversor. Essas são as finalidades dos circuitos de controle.
O modelo matemático do inversor tipo ponte completa pode ser dividido em duas
funções de transferência que abrangem os pontos de interesse no controle do inversor, e
dessa maneira devemos definir uma função envolvendo a tensão de saída em relação à
função de modulação. Isto ocorre porque o fator preponderante desta aplicação consiste em
se obter uma tensão senoidal com baixo teor harmônico na saída do inversor. Portanto, não
se pode controlar esta variável de forma indireta. A função de modulação está diretamente
relacionada com a tensão de controle que é aplicada na entrada do modulador PWM.
Outra função envolvendo uma parcela da corrente na entrada do filtro de saída deve
ser desenvolvida tendo em vista a necessidade de controlar o limite da corrente de saída. As
análises destas funções de transferência serão desenvolvidas neste tópico.
A partir da determinação das funções de transferência, pode-se controlar a tensão de
saída cumprindo requisitos como limites de ondulação e tempo de resposta especificados.
52
2.8.1 Malha de Tensão
O esquema simplificado do circuito do inversor de tensão está mostrado na Figura
2.15, onde VCC é a tensão do barramento aplicada ao inversor e VC a tensão de controle de
referência.
Figura 2.15 – Circuito simplificado do inversor de tensão.
A razão cíclica é definida como a razão entre o tempo em que um determinado
interruptor conduz e o seu respectivo período de comutação [9]. Portanto tem-se como
valor mínimo zero e valor máximo 1.
O inversor sob análise pode ser considerado como a composição de dois conversores
do tipo Buck, um em cada braço do inversor, conforme se observa na Figura 2.16.
Figura 2.16 – Um braço do inversor visto como um conversor Buck.
53
O sistema a ser controlado pode então ser considerado como se fosse dois
conversores Buck, um para cada braço do inversor, sendo que um dos braços recebe os
sinais de controle com polaridades opostas em relação ao outro braço.
A modelagem do inversor é então baseada na modelagem tradicional do conversor
Buck. Na Figura 2.17 é apresentado o circuito equivalente do conversor Buck com carga.
Figura 2.17 – Conversor Buck com carga.
O mesmo também pode ser representado pela associação em série de duas
impedâncias, Z1 e Z2, como identificado na Figura 2.18.
Figura 2.18 – Circuito em Z1 e Z2.
Onde:
Vi : É a tensão de entrada do filtro do inversor dada por Vi
f m .VCC , em que f m é a
função de modulação e VCC é a tensão do barramento CC de entrada do inversor.
Z1: É a impedância complexa do indutor filtro dada por Z1 RL
Ls , em que RL é a
resistência interna do indutor.
Z2: É a impedância complexa do capacitor filtro dada por:
Z2
1
Cs
(2.38)
54
Obtêm-se as seguintes equações da tensão de entrada Vi e de saída Vo em função da
corrente I L ( s ) .
I L (s)
I L ( s)
Vo
Z2
(2.39)
Vi
Z1 Z 2
(2.40)
Portanto:
Vo( s )
Vi ( s )
Z2
Z1 Z 2
1
Cs
RL
1
Ls
Cs
LCs
2
1
RLCs 1
(2.41)
Obtém-se então o seguinte sistema em malha aberta para o inversor:
Figura 2.19 – Função de transferência do sistema em malha aberta.
O circuito equivalente do filtro de saída do inversor é então mostrado na Figura 2.20.
Figura 2.20 – Circuito equivalente do filtro de saída do inversor.
Portanto, considerando as variações da tensão de entrada nulas e uma impedância
infinita na saída, temos a seguinte função de transferência da tensão de saída do inversor
em relação à função de modulação.
Vo( s )
f m (s)
VCC
s 2 .L.C s.RL .C 1
(2.42)
Como se utiliza um controle com re-alimentação (feedback), a tensão proveniente do
controlador é inserida no modulador, que é responsável por transformar a função de
55
modulação nos pulsos adequados de comando dos interruptores. A Figura 2.21 mostra as
formas de onda do sinal modulador e da tensão de controle, em um dado instante,
considerando a freqüência de comutação muito elevada. A portadora representada é do tipo
dente-de-serra com amplitude fixa e apresenta a mesma freqüência de comutação dos
interruptores.
Figura 2.21– Sinal dente-de-serra e de controle.
Conforme [6], o comportamento da tensão de saída do modulador é definido pela
equação (2.43), onde Vtri _ pk representa a tensão de pico da onda dente-de-serra (portadora)
e V c (t ) é a tensão proveniente do compensador.
Vc (t )
Vtri _ pk
f m (t )
(2.43)
Portanto, a função de transferência da tensão de saída em relação ao sinal de tensão
de controle, desconsiderando a influência da carga, é dada pela equação abaixo.
Vo( s)
VC ( s )
1
Vtri _ pk
.
VCC
s 2 .L.C s.RL .C 1
(2.44)
A carga influi na dinâmica do sistema em decorrência da queda de tensão no indutor
do filtro L-C provocada pela derivada da corrente de carga. Esta influência é ainda maior
quando cargas não-lineares são empregadas devido à alta derivada da corrente. Portanto, é
importante incluir esta relação no diagrama de blocos da malha de tensão, que é mostrado
na Figura 2.22, onde HV é o ganho do transdutor de tensão e CV ( s) é a função de
transferência do compensador de tensão.
56
Figura 2.22 – Diagrama de blocos da malha de tensão.
A estratégia de controle adotada consiste em medir a tensão de saída com um circuito
diferencial com filtro. Em seguida, o sinal é comparado com uma referência de tensão
senoidal. O sinal de erro é levado ao controlador, que deve estar projetado para manter uma
tensão senoidal com baixa distorção harmônica na saída do filtro L-C.
Pode ser observado que a perturbação gerada pela corrente da carga muda o
comportamento de todo o sistema. Exemplificando, se a carga fosse uma resistência pura, a
função de transferência entre a corrente da carga e a tensão de saída seria dada pela
equação (2.45):
io ( s )
Vo ( s )
1
R
(2.45)
Substituindo essa equação no diagrama de blocos da Figura 2.22 e efetuando
operações com os blocos, chega-se ao diagrama da Figura 2.23, que representa a malha de
tensão do inversor para uma carga resistiva.
Figura 2.23 – Diagrama de blocos da malha de tensão para uma carga puramente resistiva.
57
A função de transferência da tensão de saída em função do sinal de controle para uma
carga resistiva conectada na saída do inversor é então dada pela expressão (2.45):
Vo( s )
VC ( s )
1
VCC
.
Vtri _ pk s 2 .L.C s ( L
R
RL .C ) (
RL
1)
R
(2.45)
Portanto, o princípio da superposição adotado no diagrama de blocos da Figura 2.22
pode representar o sistema, sendo muito útil para determinar o comportamento do inversor
perante uma carga não-linear, onde a relação entre a corrente da carga e a tensão de saída
não pode ser determinada em termos da transformada de Laplace.
Deve-se ainda ressaltar que o conhecimento da máxima derivada positiva e negativa
de corrente de carga é um fator muito importante no projeto do inversor, pois a derivada
está diretamente relacionada à queda de tensão no indutor de filtragem. Se a queda de
tensão for relevante, a tensão de saída pode ficar com aspecto achatado mesmo com a ação
de controle saturada.
2.8.2 Compensador da Malha de Tensão
O compensador adotado na versão analógica é geralmente um compensador PID com
filtro e cuja função de transferência CV ( s ) é descrita a seguir [8].
CV ( s )
A.
(s
z 1 ).( s z 2 )
s .( s p 1 )
(2.46)
Alguns critérios são adotados na literatura [8], [9] para o projeto do compensador. Os
zeros geralmente são alocados na freqüência natural de oscilação do filtro LC do inversor,
enquanto que um pólo é alocado cinco vezes acima da freqüência natural de oscilação do
filtro LC. A freqüência de cruzamento de ganho do sistema em malha aberta deve ser menor
ou igual a 2fs/4 para a modulação unipolar.
O compensador discreto pode ser obtido a partir da versão analógica, porém a função
de transferência a ser adotada para obtenção do modelo discreto não deve apresentar o
pólo p1 que a expressão acima oferece.
É possível relacionar uma aproximação da função de transferência de um sistema por
meio de equações diferenciais. Esta equação pode ser obtida sob a forma de equação de
diferenças através da derivada [10]-[13]. A Tabela 2 relaciona as principais (e mais simples)
58
aproximações para a diferenciação com as respectivas funções de transferência no domínio
complexo.
Tabela 2 – Equações diferenciais e suas aproximações.
A Figura 2.24 exibe a estrutura do PID no domínio da freqüência complexa s e no
domínio da freqüência discreta z. Verifica-se que ambos são bastante semelhantes em sua
estrutura, porém diferem conforme o método de diferenciação selecionada.
Figura 2.24 – Diagrama de blocos do controlador PID clássico e dos possíveis PID discretos.
Assim, é possível escrever a função de transferência para cada um dos PIDs. As
expressões (2.47-2.51) mostram esses controladores.
CvPID ( s)
VCTRL ( s)
e( s )
Kp Ki.
1
s.Kd
s
s 2 .Kd
s.Kp Ki
s
A.( s z1 )( s z2 )
s
(2.47)
59
Para o PID discreto utilizando o método de Euler, tem-se:
VCTRL ( z )
e( z )
Kp Ki.
Ts
z 1
z 1
.Kd
Ts
z 2 .Kd
z.( Kp.Ts
2.Kd ) Kp.Ts
( z 1).Ts
Ki.Ts 2
Kd
(2.48)
Para o PID discreto utilizando o método da diferença com um passo atrás, tem-se:
VCTRL ( z )
e( z )
Kp Ki.
zTs
z 1
z 1
.Kd
zTs
z 2 .( Kd
KiTs 2
Kp.Ts ) z.( Kp.Ts
z.( z 1).Ts
2.Kd ) Kd
(2.49)
Para o PID discreto utilizando o método trapezoidal, tem-se:
VCTRL ( z )
e( z )
Kp
Ts z 1
2 z 1
.
.Ki
.
.Kd
2 z 1
Ts z 1
(2.50)
Cuja expansão é apresentada a seguir:
VCTRL ( z )
e( z )
z 2 .(4 Kd
KiTs 2
2 Kp.Ts ) z.(2 Ki.Ts 2 8 Kd ) 4 Kd
( z 1)( z 1).Ts
Ki.Ts 2 2 Kp.Ts
(2.51)
Logo, observando os pólos e os zeros de cada controlador verifica-se que cada um
possui uma característica desejável ou indesejável. A Figura 2.25 exibe um comparativo
entre o controlador PID clássico e as possíveis formas de execução da versão discreta.
Figura 2.25(a) – Diagrama de resposta em freqüência (Ganho) comparando o controlador PID
sob diversos formatos de aproximação, Kp = 0.5, Kd = 0.1, Ki = 0.2 e Ts = 0.2ms.
60
Figura 2.25 – Diagrama de resposta em freqüência (Fase) comparando o controlador PID sob
diversos formatos de aproximação, Kp = 0.5, Kd = 0.1, Ki = 0.2 e Ts = 0.2ms.
A escolha do PID adequado acarreta diretamente no projeto da malha de tensão bem
como na realização do algoritmo a ser desenvolvido, uma vez que a obtenção dos
coeficientes da equação de diferenças que é executado no microprocessador advém
diretamente da função de transferência no domínio z. As expressões a seguir mostram como
cada um dos controladores pode ser realizável através das respectivas equações de
diferenças.
A expressão 2.52 mostra a forma geral para a obtenção da equação de diferenças
advinda da expressão sob a variável complexa z. Este formato é também conhecido como
filtro digital de resposta infinita ao impulso (IIR).
G( z)
Y ( z)
X ( z)
bm .z m bm 1.z ( m 1) ... b1.z 1 b0
,n
an .z n an 1.z ( n 1) ... a1.z 1 1
(2.52)
m
Tomando a expressão (2.52) e organizando-a, tem-se então:
Y ( z ).[an .z
n
an 1.z
( n 1)
... a1.z
1
1]
X ( z ).[bm .z
m
bm 1.z
( m 1)
... b1.z
1
b0 ] (2.53)
Com esta expressão é possível obter a transformada z inversa, considerando que X(z) é
a entrada do sistema e Y(z) é a resposta do sistema:
an . y ( k n) ... a1. y (k 1) y ( k ) bm .x( k m) ... b1.x( k 1) b0 .x( k )
(2.54)
Tomando a expressão (2.48), é possível obter a forma de equações de diferença
através do procedimento acima mostrado:
61
[ z 2 Kd
VCTRL ( z )
e( z )
Com b1
z ( KpTs
2 Kd , e b2
KpTs
2 Kd ) KpTs KiTs 2
[( z 1).Ts ].z 2
KpTs
KiTs 2
Kd ].z
2
Kd b1.z 1 b2 .z
Ts .z 2 z 1
2
(2.55)
Kd . A realização do controlador toma a
seguinte forma:
VCTRL (k 1) b2 .e(k 2) b1.e(k 1) Kd .e(k ) VCTRL (k 2).Ts
(2.56)
Esta expressão possui um atraso adicional à resposta do controlador, além de
necessitar de um total de quatro multiplicações e três somas.
Tomando a expressão (2.49), e simplificando-a, tem-se então:
VCTRL ( z )
e( z )
[ z 2 .( K d
Com co
Kd
K iT s 2
K pT s ) z .( K pT s
[ z ( z 1).T s ] z 2
KiTs 2
KpTs , e c1
2 K d ) K d ]z
KpTs
2
c1 . z 1 K d . z
1 z 1
1 c0
.
Ts
2
(2.57)
2 Kd , assim, a forma de equações de
diferenças é obtida:
VCTRL ( k )
c
Kd
c
.e(k 2) 1 .e(k 1) 0 .e(k ) VCTRL (k 1)
Ts
Ts
Ts
(2.58)
Observa-se que esta realização é mais simples, envolve apenas três multiplicações e é
a mais comumente encontrada na literatura, além de não apresentar o atraso adicional que
a realização de Euler, além disso, os coeficientes podem ser obtidos à priori e programados
durante o projeto e execução do controlador.
No caso da realização de Tustin, tem-se:
VCTRL ( z)
e( z)
Com d 0
4 Kd
z 2 .[ z2 .d0 z.d1 d2 ] 1 d0 d1.z 1 d2 .z
.
z 2.[( z 1)( z 1).Ts ] Ts
1 z2
KiTs 2
2 KpTs , d1
2 KiTs 2 8 Kd e, d 2
2
4 Kd
(2.59)
KiTs 2
2 KpTs . A
equação de diferenças para este controlador é apresentada em z.
VCTRL (k)
d
d2
d
.e(k 2) 1 .e(k 1) 0 .e(k) VCTRL (k 2)
Ts
Ts
Ts
(2.60)
62
Apesar da quantidade de multiplicações e de somas ser a mesma do controlador PID
utilizando a diferenças com um passo atrás, a realização de Tustin ocupa um espaço a mais
de memória que a apresentada anteriormente.
2.8.3 Malha de Corrente
A importância de se controlar a corrente de saída está em se estabelecer um limite
para que as estruturas do equipamento e seus componentes, não sejam danificados ou
queimados por uma corrente excessivamente elevada.
Para se ter uma amostra da corrente foi usado um transformador de corrente e um
resistor shunt junto com um circuito diferencial que transforma o valor da corrente lida no
indutor filtro em um nível de tensão adequado para processamento pelo dsPIC. O controle
de corrente passa a atuar no sistema somente quando a corrente através do indutor filtro
ultrapassar determinado limite. Ele atua sob a tensão de saída de modo que por mais que a
carga conectada na saída do inversor necessite de um valor elevado de corrente, a corrente
de saída do inversor não ultrapassa o limite máximo pré-estabelecido no controle.
Para o controle da corrente de saída, será obtida a função de transferência deste
sistema tendo a corrente que circula pelo indutor como saída e a tensão de entrada do
indutor filtro como entrada do sistema, conforme é mostrado na Figura 2.26.
Figura 2.26 – Saída do inversor mostrando a corrente I L .
A partir da Figura 2.26 obtém-se que:
Vi
Z1
Z1I L Z 2 I L
RL
s.L
(2.61)
(2.62)
63
Z2
R//
1
C.s
(2.63)
Portanto:
IL
Vi
IL
Vi
1
1
Z1 Z 2
RLCs
2
R
1 R.C.s
s.L RL
RCs 1
( RRL C L) s RL
(2.65)
R
(2.66)
1
C
(2.67)
Finalmente:
IL
Vi
s
Ls 2 ( RL
1
RC
L
)s
RC
RL
RC
Mas
V i (s )
f m ( s ).V C C
(2.68)
VC ( s)
Vtri _ pk
f m ( s)
(2.69)
Substituindo (2.68) e (2.69) em (2.67), obtemos a função de transferência da corrente
através do indutor em função da tensão de controle:
I L (s)
VC ( s )
VCC
.
Vtri _ pk Ls 2 ( R
L
s
1
RC
L
)s
RC
RL
RC
1
C
(2.70)
2.8.4 Compensador da Malha de Corrente
O compensador adotado na versão analógica é geralmente um compensador PI com
filtro e cuja função de transferência C I ( s ) é descrita a seguir.
C I ( s ) G.
( s z1 )
s.( s p1 )
(2.71)
64
Alguns critérios são adotados na literatura [8], [9] para o projeto do compensador de
corrente. O zero geralmente é alocado uma década abaixo da freqüência de chaveamento
do inversor, enquanto que um pólo é alocado na origem para minimizar o erro estático e o
outro pólo é alocado acima da metade da freqüência de chaveamento.
Para a obtenção do modelo discreto do compensador PI, utilizaremos a forma com um
passo atrás já mostrada na 2.8.2, com a diferença que agora o termo derivativo Kd
0.
Dessa forma, a expressão discreta para o compensador PI é dada como segue:
VC TRL ( z )
e( z )
[ z 2 .( K d
Tomando Kd
K iT s 2
K pT s ) z .( K pT s
[ z ( z 1).T s ]. z 2
KiTs 2
2
1 c0
.
Ts
c1 . z 1 K d . z
1 z 1
2
(2.72)
0:
VCRTL ( z )
e( z )
Onde c0
2 K d ) K d ]. z
KpTs e c1
1 c0 c1 . z
.
Ts 1 z 1
1
(2.73)
KpTs .
2.9 Conclusão
Neste capítulo foi apresentada a topologia básica do inversor de tensão tipo ponte
completa. As principais estratégias de modulação aplicáveis a essa topologia foram
discutidas e as suas principais formas de onda foram mostradas.
Além disso, foram apresentadas as expressões matemáticas necessárias para o projeto
do estágio de potência do inversor tipo ponte completa, sendo deduzidas as equações que
calculam os esforços de tensão e corrente nos semicondutores e nos elementos passivos.
A dedução do modelo matemático do inversor foi apresentado tanto para a malha de
tensão quanto para a malha de corrente. As expressões dos compensadores comumente
encontrados na literatura e a metodologia de projeto foram discutidas.
Este capítulo é concluído ao relacionar a obtenção do compensador discreto
proveniente do compensador PID analógico através do método da alocação de pólos e zeros
já bastante consolidada na literatura. Foram mostradas algumas formas de realização do
algoritmo do controlador discreto PID a ser utilizado no projeto, sendo o algoritmo de
diferenças com um passo atrás o adotado por ocupar menos memória e realizar sua função
com um reduzido número de operações matemáticas.
65
CAPÍTULO 3
PROJETO DO INVERSOR
3.1 Introdução
Nesta seção é apresentado o projeto e dimensionamento do inversor, responsável por
converter a tensão CC em alternada com as características desejadas.
Todas as especificações e cálculos nos componentes passivos e esforços nos elementos
semicondutores são apresentados.
Além disso, o projeto das malhas de tensão e corrente são apresentados, assim como a
discretização dos controladores projetados.
3.2 Especificações Gerais do Projeto
Nesta seção serão apresentadas as especificações do projeto do inversor. Além disso,
alguns parâmetros devem ser conhecidos à priori de modo a facilitar a análise das diversas
etapas de conversão de energia.
Potência aparente máxima de saída:
So
500VA
(3.1)
Tensão eficaz de saída:
Vo _ rms
110V
(3.2)
Variação admissível da tensão eficaz de saída em relação ao valor nominal:
Vo
2%.V o
2, 2V
(3.3)
Fator de potência:
FP
0, 7
(3.4)
Potência ativa máxima de saída:
Po
S o .F P
500.0, 7 350W
Taxa de distorção harmônica máxima admissível:
(3.5)
66
THD 5%
(3.6)
Freqüência da tensão de saída:
fo
60 Hz
(3.7)
VIN
200V
(3.8)
Tensão de entrada nominal:
Tensão de entrada mínima:
VIN _ min
190V
(3.9)
VIN _ max
210V
(3.10)
Tensão de entrada máxima:
Freqüência de comutação das chaves:
fs
25kHz
(3.11)
90%
(3.12)
Rendimento do conversor:
O fator de crista máximo para a corrente de saída no filtro do inversor, quando a
operação ocorre com carga não linear é dado pela expressão (3.13):
FC
I o _ pk
I o _ rms
3
(3.13)
Conhecendo a freqüência da tensão de saída e a freqüência de comutação é possível
calcular a relação entre freqüências:
mf
fs
fo
416, 667
(3.14)
3.3 Cálculo dos Valores Eficazes, Médios, Máximos e Mínimos
A tensão de pico na saída do filtro do inversor é dada por:
Vo _ pk
110. 2 155,56V
(3.15)
A corrente eficaz de saída do filtro do inversor considerando uma carga resistiva, é
dada por:
I o _ rms
Po
Vo
350
110
3,18 A
(3.16)
67
A corrente eficaz na saída do filtro do inversor considerando uma carga indutiva, é
dada por:
So
Vo
I o _ rms _ ind
500
110
4, 54 A
(3.17)
A corrente de pico na saída do filtro do inversor, considerando uma carga linear:
I o _ pk
I o _ rms . 2
3,18. 2
4,5 A
(3.18)
A corrente de pico na saída do filtro do inversor, considerando uma carga não linear:
I o _ pk _ ind
I o _ rms _ ind .FC
4, 54.3 13, 62 A
(3.19)
O índice de modulação do inversor é igual a:
2.Vo _ rms
M
2.110
200
VIN
0, 778
(3.20)
Potência ativa de entrada do inversor estimada:
Po
PIN
350
0,9
388,89W
(3.21)
A corrente média estimada na entrada do inversor é dada por:
PIN
VIN
I IN
388,89
1,94 A
200
(3.22)
A corrente média de entrada máxima é dada por:
PIN
I IN _ max
VIN _ min
388,889
190
2, 047 A
(3.23)
388,889
1,852 A
210
(3.24)
A corrente média mínima de entrada é dada por:
Pin
I IN _ min
VIN _ max
A ondulação de corrente no indutor filtro de saída é assumida igual a 30% do valor de
pico:
iL
30%.I o _ pk
30%.4,5 1,35 A
(3.25)
3.4 Determinação da Carga Linear Resistiva e Indutiva
Determina-se o valor da carga linear resistiva a ser utilizada para a simulação e
validação através da expressão (3.26):
68
Vo 2
Po
Rnom
1102
350
34,56
(3.26)
Determina-se o valor da carga linear indutiva a ser utilizada para a simulação e para a
validação experimental, através da equação a seguir (3.27):
V 2o
So
Z nom
24, 2
(3.27)
O módulo de corrente circulante através da carga:
So
Z nom
I o _ ind
500
24, 2
4,545 A
(3.28)
As equações que determinam o valor da carga são dadas a seguir:
So .sin(cos 1 ( FP )) 357, 07VAr
Qo
Ro
Xo
Po
I o _ ind
2
Qo
I o _ ind
2
350
4,5452
16,94
(3.29)
(3.30)
357, 07
17, 28
4,5452
(3.31)
17, 28
2. .60
(3.32)
Assim, a indutância é dada por:
Lo
Xo
2. . f o
45,84mH
3.5 Dimensionamento do Estágio de Potência
Com todos os parâmetros principais e os parâmetros adotados para o projeto, pode-se
iniciar a determinação dos componentes a serem utilizados no projeto.
3.5.1 Dimensionamento dos Interruptores de Potência
A tensão máxima sobre os interruptores é a tensão máxima que aparece no
barramento CC de entrada:
VS 1_ max
210V
(3.33)
69
A tensão máxima sobre os diodos em antiparalelo dos interruptores é dada por:
VD1_ max
210V
(3.34)
A corrente média através dos interruptores é dada pela expressão (2.21):
I S 1_ med
I o _ pk .(
1
2
M
)
8
4,5.(
1
2
0, 778
) 1,15 A
8
(3.35)
A corrente eficaz através de cada interruptor é determinada, de acordo com a
expressão (2.23):
I S1_ rms
I o _ pk
24
. 3.(9.M 2
64
.M 12)
4,5
. 3.(9.0,7782
24
64
.0,778 12) 1,87 A
(3.36)
A corrente média através dos diodos é determinada pela expressão (2.26):
I D1_ med
I o _ pk .(
1
2
M
)
8
0, 278 A
(3.37)
A corrente eficaz através dos diodos é determinada pela expressão (2.29):
I D1_ rms
I o _ pk
24
. 3.(9.M 2
64
.M 12)
4,5
. 3.(9.0, 7782
24
64
.0, 778 12)
0, 410 A
(3.38)
A corrente de pico nos interruptores é dada pela expressão (2.24):
I S 1_ pk
I o _ pk
iL
2
4,5
1,35
2
5,175 A
(3.39)
No caso dos diodos, a corrente de pico é dada pela expressão (2.30):
I D1_ pk
I o _ pk
iL
2
4,5
1,35
2
5,175 A
(3.40)
Com estas informações é possível selecionar os interruptores adequados ao projeto.
Pode-se utilizar as curvas da Figura 3.1 para realizar a seleção do tipo de interruptor a ser
utilizado. Este gráfico contém as características de Potência x Freqüência de comutação das
diversas tecnologias de interruptores disponíveis no mercado.
70
Figura 3.1 – Ábaco de seleção dos interruptores.
Vê-se através da figura que é possível utilizar tanto um IGBT quanto um MOSFET,
sendo um MOSFET a opção escolhida.
O MOSFET que atende as necessidades do conversor é o STP22NS25Z da ST, que já
possui um diodo em antiparalelo integrado no seu encapsulamento. No projeto serão
utilizados quatro MOSFETs STP22NS25Z da ST, cujas especificações são apresentadas a
seguir:
Tensão Dreno-Fonte:
VDS = 250V;
Tensão de Gate:
VGS = ± 20V;
Corrente de Dreno @ 25oC:
ID = 22A;
Resistência Dreno-Fonte_ON:
Valor Típico: 0,15 ;
Tempo de Recuperação Reversa:
t rr = 292ns;
Carga de Recuperação Reversa:
Qrr = 3065nC;
Tempo de Subida:
t r = 30ns;
Tempo de Descida:
t f = 65ns;
Resistência Térmica Junção-Encapsulamento:
Rthj = 0,93oC/W;
Resistência Térmica Junção-Ambiente:
Rthj_a = 62,5oC/W;
Tempo de Atraso:
t d(ON) = 20ns.
Foi considerado um RDS(ON) = 2.0,15
= 0,30
para compensar a elevação de
temperatura para em torno de 100oC quando o MOSFET estiver operando.
71
3.5.2 Perdas nos MOSFETs
As perdas nos MOSFETs S2, S3 e S4 são iguais as perdas em S1. Por esse motivo a análise
é feita somente para S1.
A perda de condução em um MOSFET é dada por:
Pcond _ S 1
( RDS (ON ) .I rms _ S 12 ) (0,30.2, 6762 ) 2,148W
(3.41)
As perdas por comutação são dadas por:
Pcomut _ S 1
1
.(Vinom .I rms _ S 1 ).(tr
2
1
.(200.2, 676).(95.10 9 ).25.103
2
t f ). f s
0, 635W
(3.42)
As perdas totais no MOSFET são, portanto, dadas por:
Ptot _ S 1
Pcond _ S 1
Pcomut _ S 1
2,148 0, 635
2, 783W
(3.43)
3.5.3 Resistência Térmica do Dissipador
No dissipador são colocados quatro transistores MOSFETs.
A temperatura da junção é:
Tj
100º C
(3.44)
A temperatura ambiente é considerada como sendo:
Ta
40º C
(3.45)
A resistência térmica junção-encapsulamento para os quatro MOSFETs vale:
R tcd
4.0,93 3, 72o C / W
(3.46)
Assim, a temperatura entre o dissipador e o MOSFET é dada por:
Td _ S 1 T j
Rthj .Ptot _ S 1 100 0,93.2, 783 97, 41o C
(3.47)
Finalmente, a resistência térmica do dissipador deve ser menor ou igual ao seguinte
valor:
Rth _ dissip
Td _ S 1 Ta
4.Ptot _ S 1
97, 41 40
4.2, 783
5,15o C / W
(3.48)
72
3.6 Projeto do Filtro do Inversor
O projeto dos componentes passivos do inversor é apresentado nesta seção.
Primeiramente é calculado o valor da indutância e, a partir desta, é calculado o valor da
capacitância [8].
3.6.1 Projeto do Indutor
A ondulação de corrente no indutor de filtro de saída é dada pela expressão (3.25):
iL
1,35 A
(3.49)
A corrente eficaz no indutor é dada por (3.50):
I L _ rms
I o _ rms
3,18 A
(3.50)
A corrente de pico no indutor é igual a:
I L _ pk
( I o _ pk
iL
)
2
5,175 A
(3.51)
Assim, de acordo com a expressão (2.16), pode-se calcular o valor da indutância:
L
Vcc
2. f s . i Lmax
200
2.25.103.1,9281
2,96mH
(3.52)
Levando em consideração que o inversor vai alimentar cargas não lineares, deve-se
limitar a derivada da corrente em um valor de 30000A/ s para uma queda de tensão sobre o
indutor de 21,3V, dados obtidos pela modelagem da carga por simulação [14], com isso é
possível o cálculo do novo valor de indutância:
L
21,3 Volts
.
30000 A / s
710 H
(3.53)
Esse novo valor de indutância mesmo sendo menor que o anterior, atenderá aos
requisitos de ondulação de corrente para cargas lineares.
3.6.2 Projeto do Capacitor
Para o projeto do capacitor de filtro a freqüência de corte é considerada 20 vezes
menor que o dobro da freqüência de comutação, que é de 25kHz.
73
Assim, a freqüência de corte é igual a:
fc
2. f s
20
2.25000
20
2,5kHz
(3.54)
De acordo com a expressão (2.18), podemos calcular o valor da capacitância:
C
100
L.(2. . f s ) 2
100
710.10 6.(2. .25.103 ) 2
5, 7 F
(3.55)
A partir da equação (2.7), com os valores da indutância e da capacitância calculados,
pode-se traçar o diagrama de Bode do filtro LC do inversor, como mostra a Figura 3.2.
Figura 3.2 – Diagrama de Bode do filtro LC do inversor.
A figura 3.2 mostra que a freqüência de corte do filtro LC fica exatamente em 2,5kHz,
como esperado.
A corrente eficaz que passa através do capacitor é dada por:
I Cap _ rms
1
.(2 M 2 ). iL max
4
0, 470 A
(3.56)
Foi escolhido um capacitor de polipropileno metalizado da EPCOS de 6µF e 300Vac.
Este se caracteriza por possuir uma baixa resistência série equivalente, podendo esse valor
ser desprezado na modelagem dinâmica do inversor.
74
3.6.3 Especificações Construtivas do Indutor
Indutores são encontrados na grande maioria dos conversores estáticos no campo da
eletrônica de potência. O processo de conversão de energia requer o uso de
transformadores e indutores, componentes que são freqüentemente os mais pesados e mais
volumosos no circuito de conversão. Os transformadores e indutores têm também um
significante efeito no desempenho global e eficiência do sistema. Portanto, o projeto de tais
componentes têm uma importante influência no peso global dos sistemas, na eficiência da
conversão de potência e no custo do equipamento. Por causa da interdependência e
interação destes parâmetros, sensatez é necessário para alcançar otimização do projeto.
Fabricantes têm, por muitos anos, atribuído códigos para seus núcleos para indicar
suas capacidades de potência. Este método atribui para cada núcleo um número chamado o
produto de áreas, Ap, que é o produto da área da janela, Wa, e a área da seção transversal do
núcleo, Ac. Estes números são usados pelos fornecedores de núcleo para resumirem as
propriedades dimensionais e elétricas em seus catálogos. O produto da área da janela, Wa, e
a área da seção transversal do núcleo, AC, resulta no produto de áreas, Ap, uma dimensão
elevada à quarta potência. Uma outra abordagem que está sendo utilizada atualmente é
uma constante que denota a capacidade de processar potência de um núcleo, que é a
geometria do núcleo, Kg. Kg tem uma dimensão elevada à quinta potência. Esta nova
constante fornece a engenheiros um meio mais rápido e mais firme para controlarem seus
projetos. Ele é relativamente um novo conceito, e fabricantes de núcleos magnéticos estão
começando a incluir esses valores em seus catálogos [15].
Por causa da sua importância, Ap, e a geometria do núcleo, Kg, são as duas
metodologias mais amplamente usadas no projeto de magnéticos para circuitos eletrônicos.
Grande parte das informações nesse campo da ciência encontra-se em tabelas para auxiliar
os projetistas em fazer as escolhas mais adequadas para uma particular aplicação em um
menor intervalo de tempo.
Projetistas têm usados várias abordagens para escolher o adequado transformador e
indutor em seus projetos. Por exemplo, em muitos casos uma regra usada para tratar com
densidade de corrente é que um bom nível de trabalho é de 250A/ cm2 a 600A/ cm2. Essa
faixa é bastante satisfatória na maioria dos casos.
75
Utilizaremos uma metodologia apresentada em [15] para o dimensionamento
construtivo do indutor utilizando o método do produto de áreas.
Especificações gerais do Indutor e parâmetros assumidos:
Indutância:
L = 710µH
Ondulação de Corrente no Indutor:
I = 1,9281A
Potência de Saída:
Po_avg = 350W
Densidade de Corrente:
J = 650A/cm2
Densidade de Fluxo Máximo:
Bmax = 0,30T
Fator de Utilização da Janela:
Kw = 0,7
Freqüência de Chaveamento:
fs = 25kHz
Elevação de Temperatura:
Tr = 25oC
Corrente Eficaz no Indutor:
I Lef
4,545 A
Corrente de Pico no Indutor:
I Lpk
7,3910 A
A capacidade de processamento de energia do indutor é igual a:
Energia
L.I Lpk 2
710.10 6.7,39102
2
2
0, 01939 J
(3.57)
O produto de áreas do núcleo é determinado pela seguinte expressão:
Ap
L.I Lpk .I Lef .104
K w .J .Bmax
710.10 6.7,3910.4,545.104
0, 7.650.0,3
1, 747cm 4
(3.58)
Para esse valor de produto de áreas foi adotado o núcleo pownder tipo Koll Mµ
toroidal de referência 77076A7 da Magnetics. As especificações desse núcleo são as
seguintes:
Tamanho do Caminho Magnético:
MPL = 8,98cm
Peso do Núcleo:
Wtfe = 35,2g
Comprimento Médio do Enrolamento:
MLT = 55mm
Área da Seção Transversal do Núcleo:
Ac = 67,8mm2
Área da Janela:
Wa = 364mm2
Produto de Áreas:
Ap = 2,46792cm4
Área da Superfície:
At = 34,50cm2
76
Permeabilidade Magnética do Núcleo:
µ = 60 Wb/A/m
Fator AL:
AL = 60 nH/espira2
Onde o fator AL é retirado do gráfico da Figura 3.3.
Figura 3.3 – Gráfico AL x NI para o núcleo 77076A7 toroidal da magnetics.
A área do fio desencapado necessária, AW(B), é dada por
AW ( B )
I o _ rms
J
4,545
650
0, 699mm 2
(3.59)
A profundidade da corrente no fio de cobre é dada por
7,5
Fs
7,5
25000
0, 47 mm
(3.60)
Assim, o diâmetro máximo do fio de cobre será:
Dfiomax
2.
2.0, 47
0,94mm
(3.61)
Portanto, não poderá ser utilizado condutor com diâmetro maior que 0,94mm. Podem
ser utilizados condutores mais finos que o fio 19AWG que tem 0,91mm de diâmetro.
Escolheu-se o condutor 27AWG. As características desse fio são:
Área do Cobre: Acu27 = 0,10mm2;
Resistividade do Cobre:
27
= 1,72.10-8 .m;
Resistência do fio de cobre: r27 = 0,00172 / cm;
77
Área da seção esmaltada: S27 = 0,001344cm2.
Dessa forma, o número de fios em paralelo deve ser:
N fios
AW ( B)
0, 699
0,1
A cu 27
7
(3.62)
Portanto, adotou-se 7 fios em paralelo de tamanho AWG = #27.
A área efetiva da janela, de acordo com [15], adota-se como sendo:
W a ( eff )
75% .W a
364.0, 75
2, 73 cm 2
(3.63)
Dessa forma, o número máximo de espiras possíveis é dado pela expressão (3.64):
N
Wa ( eff )
7.S 27
2, 73
.0, 6 174espiras
7.0,1344
.0, 6
(3.64)
Com esses valores, a permeabilidade magnética requerida é dada por:
Bmax .MPL.104
0, 4. .Wa .J .K w
0,3.8,98.104
13Wb / A / m
0, 4. .3, 64.650.0, 7
(3.65)
Esse valor está bem abaixo da permeabilidade do núcleo escolhido, que é de
60Wb/A/m, o que valida a escolhe deste núcleo.
A próxima etapa consiste em calcularmos o número de espiras necessárias. Esse valor
é dado pela expressão (3.66):
L
AL
NL
(3.66)
Aplicando-se um fator de correção de 8% no valor de AL, obtemos que ALmin=55,2.
Logo:
NL
710.103
55, 2
113, 412
(3.67)
Portanto, o número de espiras do indutor será 114.
A resistência do fio do indutor é dada por:
RL
( MLT ).N L .
r27
N fios
5,5.114.
As perdas no cobre serão então dadas por:
0, 0172
7
0,154
(3.68)
78
Pcu
RL .I o _ rms 2
0,154.4,5452
3,18W
(3.69)
Por fim, a área da janela necessária é dada por:
Awnec
N L .N fios .S 27
Kw
114.7.0, 001344
1,53cm 2
0, 7
(3.70)
Assim, o fator de ocupação é dado por:
K ocup
Awnec
Wa
1,53
3, 64
0, 42
(3.71)
O que comprova a viabilidade da construção do indutor.
3.7 Driver IR2110
O driver escolhido para o inversor é o driver IR2110. Ele é um tipo de driver de alta
tensão e alta velocidade para MOSFETs e IGBTs de potência do tipo bootstrap com dois
canais de saída (Lado de alta e lado de baixa) com referências independentes, ideal para
aplicações de conversores de potência que utilizam interruptores num mesmo braço. As
entradas lógicas são compatíveis com o padrão CMOS ou saída LSTTL, até lógica 3,3V.
Propagação de atrasos são combinados para simplificar o uso em aplicações de alta
freqüência. O canal flutuante pode ser usado para acionar um MOSFET canal-N ou IGBT na
configuração do lado de alta, que opera até 500 ou 600V. A Figura 1.6 mostra uma típica
conexão externa desse driver e seu diagrama de blocos interno.
Principais características do IR2110:
Fabricante: International Rectifier;
Driver para pulsos altos e baixos;
Canal flutuante projetado para operação bootstrap;
Tolerante a transientes negativos de tensão;
Tensão de saída: De 10 a 20V;
Bloqueio de canais em caso de subtensão;
Compatível com a lógica 3.3V;
Entradas CMOS Schimitt-Triggered com pull down;
Atraso de propagação associado para ambos os canais;
Saídas em fase com as entradas;
Atraso: Máximo de 10ns;
79
Tempo entre tON e tOF : Máximo de 120ns;
Corrente de saída: 2A;
Os valores nominais máximos indicam os valores máximos suportados pelo dispositivo
além dos quais um dano ao dispositivo pode ocorrer. Todos os parâmetros de tensão são
tensões absolutas referenciados ao pino COM (terra) e os parâmetros de temperatura são
referenciados ao encapsulamento tipo DIP.
Tabela 3 – Valores nominais máximos para o IR2110.
SÍMBOLO
DEFINIÇÃO
MÍNIMO MÁXIMO UNIDADE
VB
Tensão de alimentação flutuante no lado de
alta.
-0,3
525
V
VS
Offset de tensão de alimentação flutuante no
lado alto.
VB - 25
VB + 0,3
V
VHO
Tensão de saída flutuante no lado de alta.
VS - 25
VS - 0,3
V
V CC
Tensão de alimentação fixa no lado de baixa.
-0,3
25
V
V LO
Tensão de saída no lado de baixa.
-0,3
VCC + 0,3
V
V DD
Tensão lógica de alimentação.
-0,3
VSS + 25
V
V SS
Offset da tensão lógica de alimentação.
V CC - 25 V CC + 0,3
V
V IN
Tensão lógica de entrada.
VSS - 0,3 V DD + 0,3
V
PD
Dissipação de potência
1,6
RTHJA
Resistência térmica junção-meio ambiente.
75
TJ
Temperatura na junção.
150
o
C
TL
Temperatura durante soldagem (10
segundos).
300
o
C
W
o
C/W
Para o bom funcionamento o dispositivo deve ser utilizado dentro de condições
recomendadas. A Tabela 4 mostra as faixas de valores típicos dentro das quais o driver deve
operar.
80
Tabela 4 – Valores recomendados de operação para o IR2110.
SÍMBOLO
DEFINIÇÃO
MÍNIMO MÁXIMO UNIDADE
Tensão de alimentação flutuante no lado de
alta.
VS + 10
VB
VS + 20
V
500
V
VS
Offset de tensão de alimentação flutuante
no lado de alta.
VHO
Tensão de saída flutuante no lado de alta.
VS
VB
V
VCC
Tensão de alimentação fixa no lado de baixa.
10
20
V
V LO
Tensão de saída no lado de baixa.
0
VCC
V
V DD
Tensão lógica de alimentação.
VSS + 3
V SS + 20
V
V SS
Offset da tensão lógica de alimentação.
-5
5
V
V IN
Tensão lógica de entrada.
VSS
V DD
V
PD
Dissipação de potência
1,6
W
TA
Temperatura ambiente
125
ºC
-40
As características elétricas dinâmicas desse driver são mostradas na Tabela 5.
Tabela 5 – Características elétricas dinâmicas para o IR2110.
SÍMBOLO
DEFINIÇÃO
VALOR TÍPICO UNIDADE
t ON
Atraso de propagação para ligar.
120
ns
t OFF
Atraso de propagação para desligar.
94
ns
t sd
Retardo de propagação.
110
ns
tr
Tempo de subida.
25
ns
tf
Tempo de descida.
17
ns
MT
Delay associado, liga-desliga.
10
ns
81
A descrição da pinagem apresentada na Figura 1.6 é descrita na Tabela 6.
Tabela 6 – Definição da pinagem do IR2110.
SÍMBOLO
DESCRIÇÃO
VDD
Alimentação.
HIN
Entrada lógica para saída alta do driver, em fase.
SD
Lógica de entrada para encerramento.
LIN
Entrada lógica para saída baixa do driver, em fase.
VSS
Terra.
VB
Alimentação flutuante do lado alto.
HO
Saída do driver no lado alto.
VS
Retorno da alimentação flutuante no lado alto.
V CC
Alimentação do lado baixo.
LO
Saída do driver do lado baixo.
COM
Retorno do lado baixo.
3.8 Circuito de Amostragem da Tensão do Inversor Ponte Completa
A amostragem da tensão do inversor ponte completa é realizada através de um
circuito formado por um amplificador operacional comum, na configuração diferencial mais
um somador, propiciando 2,5V mais a tensão medida. Esta configuração é uma das mais
simples e econômicas para obter a medição da tensão diferencial. O diagrama esquemático
é mostrado na Figura 3.4.
Figura 3.4 – Circuito diferencial de tensão utilizado para medição da tensão de saída do inversor.
82
A amplitude máxima (pico) da tensão medida pode chegar ao seguinte valor:
V o _ rm s . 2 155, 56V
(3.72)
Adota-se então um fundo de escala – FDE para esta tensão, de forma que o valor lido
pelo processador possua fundo de escala em 5V. O circuito de condicionamento possui um
offset de 2.5V. Adota-se, portanto, uma tensão de fundo de escala para o inversor de:
Vinv _ FDE
200V
(3.73)
Esse valor de tensão corresponderá ao valor de fundo de escala do processador:
VFDE _ proc
5V
(3.74)
O valor K v _ INV representa o ganho visto pelo primeiro amplificador operacional, em
CC, do conjunto de amplificação diferencial mais o offset adotado anteriormente. O ganho
real da amostragem é K v _ INV / 2 . Portanto:
K v _ INV
VFDE _ proc
Vinv _ FDE
(3.75)
A relação que se estabelece entre a tensão lida no inversor e a que é obtida no pino do
ADC do processador é dada pela expressão (3.76):
Vout _ pino (U )
U .K vINV VFDE _ proc
2
(3.76)
Onde U é a tensão lida na saída do inversor.
O equacionamento a seguir relaciona toda a função de transferência do circuito
esquemático da Figura 3.5.
Z2
Z4
+5V
Z1
V+
VG
Z1
Vo* Z3
Z2
Figura 3.5 – Diagrama simplificado do circuito de medição diferencial com filtro e somador.
Vo
83
Desconsiderando a atenuação dos amplificadores operacionais e considerando que Z1
= R1, Z2 = R2//C2, Z3 = R3 e Z4 = R4//C4, a simplificação resulta na expressão (3.77):
Vo
R2
R4
.
.(V V )
R1 (s.R2.C2 1).(s.R3.R4.C4 R4 R3 )
(3.77)
Fazendo R4 = R3, tem-se então a seguinte simplificação:
Vo
R2
1
.
.(V V )
R1 (sR
. 2.C2 1).(sR
. 4.C4 2)
(3.78)
O circuito da Figura 3.5 resulta em um filtro com dois pólos, logo é possível obter uma
inclinação de -40dB/década.
De acordo com o circuito mostrado na Figura 3.4, os valores para R2 e R1 são
determinados a partir do ganho K v _ INV e, a partir do valor obtido, a resistência R1 será
decompostas em 3 outras resistências cada uma para que haja uma distribuição de
dissipação de potência sobre essas resistências e portanto para que possa ser usado
resistências comuns de 1/8 W. Dessa forma, adotando-se R2 = R4 = R3 = 10k , temos que:
R1
R2
K vINV
10k
(5 / 200)
400k
(3.79)
Assim, de acordo com os valores comercias existentes, a resistência R1 foi decomposta
em duas resistências de 150k e uma de 100k .
Deve-se determinar o valor da tensão sobre cada resistor considerando o pior caso, e,
as potências dissipadas sobre cada um deles. Assim:
iamostragem
Vinv _ FDE
R1 R 2
200V
410k
0, 488mA
(3.80)
A tensão sobre cada resistor será:
VR _150 k
150k .iamostragem
73, 2V
VR _100 k
100k .iamostragem
48,8V
VR 2
10k .iamostragem
4,88V
(3.81)
(3.82)
(3.83)
84
A potência dissipada sobre cada um deles será:
PR _150k
150k.iamostragem 2
35,72mW
PR _100 k
100k.iamostragem 2
23,81mW
10k .iamostragem 2
2,38mW
PR 2
(3.84)
(3.85)
(3.86)
Portanto, serão adotados resistores comerciais de 1/8W com tolerância de 1%.
No caso do filtro de alta freqüência de dois pólos descritos pela função de
transferência (3.78), deve-se realizar uma alocação de forma que ambos os pólos fiquem
uma década abaixo da freqüência de amostragem adotada, de forma que não haja passagem
de sinais cuja freqüência possa causar problemas de aliasing na amostragem. Este filtro
também serve como supressor de ruídos de comutação.
A freqüência de amostragem adotada é dada por:
f sample
2. f s _ inv
50kHz
(3.87)
Portanto, o período de amostragem do sinal de saída de tensão do inversor é:
1
Tsample
f sample
20 s
(3.88)
A freqüência de alocação dos dois pólos do filtro são então dadas por:
w p1_ filtro
1
2. . . f sample
2
157079, 63rad / s
w p 2 _ filtro
1
2. . . f sample
2
157079, 63rad / s
(3.89)
(3.90)
Portanto:
C2
C4
1
0, 637nF
wp1_ filtro .R 2
(3.91)
1
0, 637nF
wp 2 _ filtro .R3
(3.92)
A função de transferência do circuito de amostragem projetado é então igual a:
G( s)
Vo
V
V
(3.93)
85
G ( s)
Vo
V
V
10.103
.
400.103 ( s.10.103.637.10
G(s)
( s.6,37.10
6
12
1
1).( s.10.103.637.10
0, 025
1).( s.6,37.10
6
12
2) (3.94)
2)
(3.95)
O diagrama de bode do circuito diferencial projetado é mostrado na Figura 3.6.
Figura 3.6 – Resposta em freqüência do circuito diferencial projetado.
A partir da Figura 3.6, podemos constatar que o filtro projetado alcançou seu objetivo,
dando o ganho adequado para o sinal de tensão de saída ser lido pelo microcontrolador e ao
mesmo tempo acentuando as freqüências acima de 25kHz.
3.9 Circuito de Amostragem da Corrente através do Indutor Filtro do Inversor
A amostragem da corrente do indutor é feita por meio de um Transformador de
Corrente. O primário do TC é colocado em série com o indutor do filtro de saída do inversor.
A corrente refletida no secundário passa então através de um resistor shunt que transforma
a corrente lida numa tensão que vai para a entrada de um circuito diferencial com filtro
semelhante ao circuito de amostragem da tensão apresentado na seção 3.7.
86
Figura 3.7 – Circuito de amostragem da corrente através do indutor filtro do inversor.
A amplitude máxima (pico) da corrente medida através do indutor pode chegar ao
seguinte valor:
I o _ pk
13, 62 A
(3.96)
Adota-se então um valor de fundo de escala para esta corrente, de forma que o valor
lido pelo processador possua fundo de escala em 5V.
Assim, o valor da corrente de fundo de escala adotado para a corrente através do
indutor é dado por:
I cc _ FDE
20 A
(3.97)
Desse modo, a tensão de fundo de escala equivalente no processador será:
VFDE _ proc
5V
(3.98)
O valor KCC representará o ganho total (TC + shunt + amplificador operacional) que o
circuito da Figura 3.7 possuirá, até a chegada no pino do microcontrolador:
K CC
VFDE _ proc
I cc _ FDE
5V
20 A
1
4
Adota-se a seguinte relação de transformação para o TC utilizado:
(3.99)
87
Número de espiras do primário:
N pTC
1espira ;
Número de espiras do secundário:
N sTC
1000espiras .
Assim, o ganho do Transformador de Corrente utilizado é dado por:
N pTC
RELTC
N sTC
1
1000
(3.100)
0, 001
A relação que se estabelece entre a corrente lida através do shunt e a que é obtida no
pino do processador é dada pela equação a seguir:
AN iINV (U )
U .K CC VFDE _ proc
2
(3.101)
Onde U é a corrente através do indutor filtro.
Dessa forma:
AN iINV ( 20 A) 0V
(3.102)
AN iINV (0 A)
2,5V
(3.103)
AN iINV (20 A) 5V
(3.104)
O equacionamento a seguir relaciona toda a função de transferência do circuito
esquemático da Figura 3.8.
Z2
Z4
+5V
Z1
V+
V-
Z1
Vo* Z3
G
Vo
Z2
Figura 3.8 – Diagrama simplificado do circuito de medição diferencial de corrente com filtro e somador.
Considerando que Z1 = R1, Z2 = R2//C2, Z3 = R3 e Z4 = R4//C4 e desconsiderando a
atenuação dos amplificadores operacionais, a função de transferência do circuito é dada por:
Vo ( s )
R2
R4
.
R1 ( s.R2 .C2 1).( s.R3 .R4 .C4
R4
Tomando R4 = R3, tem-se então a seguinte simplificação:
R3 )
.(V
V )
(3.105)
88
R2
1
.
R1 ( s.R2 .C2 1).( s.R4 .C4
Vo ( s )
2)
.(V
V )
(3.106)
Dessa forma, temos um filtro com dois pólos, logo é possível obtermos uma inclinação
de -40dB/década.
Os valores de R1 e R2 são determinados a partir do ganho KCC. Deve-se verificar a
tensão de saída para o fundo de escala de corrente adotado para o TC proposto. Será
adotado uma tensão de fundo de escala no resistor shunt do TC para uma corrente de fundo
de escala no primário de 20A de:
V pk _ TC
0, 2V
(3.107)
Pela relação de transformação adotada pelo TC, uma corrente de fundo de escala de
20A no primário, corresponde a uma corrente de fundo de escala de ITC
20mA no
secundário. Desse modo, a resistência shunt do TC deverá ser igual a:
V pk _ TC
RTC
RELTC .I cc _ FDE
0, 2
10
0, 001.20
(3.108)
A potência máxima dissipada nesse resistor é igual a:
PDRTC
RTC .( RELTC .
I cc _ FDE
2
) 2 10.(0, 001.
20 2
)
2
2mW
(3.109)
Pode-se então adotar um resistor RTC = 10 , potência 1/8W e tolerância 1%.
Adotam-se os seguintes valores para os resistores R2, R3 e R4 na Figura 3.7:
R 2 R3 R 4 10k
(3.110)
Assim, o resistor R1 na entrada do amplificador diferencial é calculado por:
R1 R 2.
VFDE _ sTC
VFDE _ proc
10000.
0, 2
5
400
(3.111)
A freqüência de amostragem do conversor analógico digital do dsPIC será tomada
como o dobro da freqüência de chaveamento do inversor:
f sample
2. f s _ inv
Tsample
2.25kHz
20 s
50 kSPS
(3.112)
(3.113)
89
Agora, vamos alocar os dois pólos do filtro na freqüência de chaveamento do inversor.
Com isso, obteremos um filtro com freqüência de corte de 25kHz e com uma taxa de
inclinação de -40dB/década. Assim:
wp1_ filtro
wp 2 _ filtro
2 . f s _ inv
2 .25000 157079,825rad / s
(3.114)
Com isso, os valores dos capacitores C2 e C4 podem ser determinados:
1
R2 .C2
w p1_ filtro
(3.115)
Logo:
1
C2
1
10k .157079,825
R2 .wp1_ filtro
636, 62 pF
(3.116)
Também podemos fazer:
1
R4 .C4
wp 2 _ filtro
C4
1
1
10k .157079,825
R4 .w p 2 _ filtro
(3.117)
636, 62 pF
(3.118)
Portanto, a função de transferência do circuito diferencial considerado será:
Vo ( s)
10k
1
.
.(V
400 ( s.10k .636 p 1).( s.10k .636 p 2)
V )
(3.119)
O ganho do TC é dado pela relação de transformação do TC vezes a resistência do
shunt:
GTC
10.
1
1000
0, 01
(3.120)
A função de transferência do circuito TC, shunt e filtro é então dada por:
Vo ( s )
(V V )
H (s)
H ( s ) 0, 25.
25.
( s.6,36.10
( s.6,36.10
6
6
1
1).( s.6,36.10
1
1).( s.6,36.10
6
6
2)
2)
.GTC
(3.121)
(3.122)
O diagrama de Bode da função de transferência do circuito projetado é então
mostrado na Figura 3.9:
90
Figura 3.9 – Diagrama de Bode da função de transferência H(s).
A partir da Figura 3.9, podemos constatar que o filtro projetado alcançou seu objetivo,
dando o ganho adequado para o sinal de corrente através do indutor ser lido pelo
microcontrolador e ao mesmo tempo acentuando as freqüências acima de 25kHz.
A Figura 3.10 exibe o formato numérico do ponto de vista de todos os estágios de
conversão de sinal, inclusive os valores visualizados pelo registrador do processador.
Figura 3.10 – Características dos sinais ao longo do processo de conversão analógico – digital.
91
O sinal proveniente da saída do inversor (1) passa pelo primeiro estágio de filtragem e
ganho, reduzindo o valor da tensão até o nível apresentado em (2). Em (3) o sinal é somado
a um offset. Este, após a conversão binária (4) do AD é ajustado internamente no dsPIC para
ocupar os bits superiores do registrador de 16 bits (5). Em (6) ocorre a inversão do bit mais
significativo do registrador, produzindo um número com o formato complemento-de-2. Esse
número com o sinal numérico pode ser visualizado em formato decimal (7), e, sob o formato
“por unidade” com o devido sinal referente à polaridade da tensão do inversor. Esta
extensão numérica é extremamente vantajosa, uma vez que todos os cálculos realizados
estão sob o formato inteiro. Este tipo de conversão é geralmente conhecido por numeração
no formato Q0.15 ou notação de ponto-fixo, onde 0 representa a quantidade de bits da
parte inteira e 15 a quantidade de bits utilizados para a parte fracional. Neste caso, o
número que aparece no registrador está sob esse formato. Este tipo de operação possui
muitas vantagens se comparado com a utilização de numeração com ponto flutuante. Uma
delas é a reduzida ocupação de espaço que uma unidade aritmética de ponto fixo ocupa na
CPU se comparada com unidades de operações matemáticas com ponto flutuante, além da
velocidade, que na maioria dos casos tende a ser mais rápida do que unidades de ponto
flutuante.
3.10 Análise da Malha de Tensão
O diagrama de blocos do sistema de controle do inversor (malha de tensão) é dado na
Figura 3.11. O mesmo consta de uma malha de tensão para o monitoramento da tensão de
saída. Gv(s) representa a função de transferência da planta, que em nosso caso é o inversor,
Hv(s) é a função de transferência do circuito de amostragem da tensão de saída e Cv(s)
representa a função de transferência do compensador de tensão a ser projetado.
Figura 3.11 – Diagrama de blocos do sistema de controle do inversor.
A função de transferência da planta do inversor é dada pela expressão (3.123):
92
VCC
GV ( s )
s 2 .L.C s.( RL .C
RL
1
R
L
)
R
(3.123)
A função de transferência de laço aberto leva em consideração somente a
característica em cascata do modulador, da planta e do circuito de amostragem envolvido. A
expressão dessa função de transferência é mostrada na expressão (3.124):
F T M A vsc ( s ) G V ( s ).H V ( s ). f m ( s )
(3.124)
O ganho HV ( s ) incorpora todos os ganhos envolvidos na amostragem do sistema,
transformando o sinal, cujo fundo de escala é de 200V, em um sinal cujo fundo de escala é
de 5V. Vtri _ pk representa o valor de pico da portadora. A amplitude da onda portadora é vista
para o sistema com o valor de 5V.
Considerando os valores já calculados anteriormente, temos que:
C = 6µF
L = 710µH
RL = 0,154
Rnominal = R = 34,571
Vtri _ pk = 5V
Assim, a função de transferência GV(s).Fm(s) do inversor é dada pela expressão (3.125):
Vo ( s )
Vc ( s )
200
.
5
1
s 2 .4, 26.10
Vo ( s )
Vc ( s )
9
2
s.(0,154.6.10
s .4, 26.10
9
6
710.10 6
47, 47.10
)
34,571
34,571
40
s.20,822.10
6
1, 001
3
1
(3.125)
O ganho de tensão do circuito de realimentação de amostragem da tensão de saída é
dado pela relação entre a tensão de fundo de escala adotado para o circuito de amostragem
(5V) e a correspondente tensão de fundo de escala adotada para o inversor (200V). Assim, o
ganho de realimentação é dado pela equação (3.126).
HV ( s)
5
200
0, 025
(3.126)
93
Portanto, a função de transferência de laço aberto do sistema não compensado é dada
pela expressão (3.127):
GV ( s).Fm ( s).HV ( s)
2
s .4, 26.10
9
1
s.20,822.10
6
1, 001
(3.127)
O diagrama de Bode da função de transferência de laço aberto é mostrado na Figura
3.12.
Figura 3.12 – Diagrama de Bode de laço aberto do inversor.
De acordo com a Figura 3.12, a freqüência de cruzamento de ganho do sistema de laço
aberto é igual a 3,39kHz ( = 21,3.103 rad/s).
A freqüência de corte do sistema não compensado é dada por:
o
1
C.L
1
6.10 .710.10
6
6
15321, 28rad / s
(3.128)
Que em Hz equivale a:
fo
o
2.
2438, 46 Hz
(3.129)
A resposta ao degrau unitário do sistema não compensado é mostrada na Figura 3.13.
94
Figura 3.13 – Resposta ao degrau unitário do sistema não compensado.
A partir da Figura 3.13, podemos observar que o um máximo sobre-sinal de 60% e um
tempo de acomodação em torno de 2ms.
Segundo recomendações da teoria de controle, a freqüência de cruzamento de ganho
2. f s
da função de transferência de laço aberto do sistema deve ser menor ou igual a
4
fs
(modulação unipolar) ou menor que
(modulação bipolar). Portanto, para o projeto
4
considera-se:
f cruzamento
fs
4
(3.130)
Logo:
25kHz
4
f cruzamento
6, 25kHz
(3.131)
Em rad/s o valor dessa freqüência é igual a
cruzam ento
2. . f cru zam en to
39269,91rad / s
(3.132)
O ganho do sistema de laço aberto não compensado na freqüência desejada de
6,25kHz é então dado por
GMA
1
2
(1, 001 39269,91 .4, 26.10 9 ) 2 (39269,91.20,573.10 6 ) 2
GMA (dB) 20.log(0,17775)
15dB
0,17775
(3.133)
(3.134)
95
Assim, o compensador deve proporcionar esse ganho (15dB). Logo, o ganho que o
compensador de tensão deverá proporcionar a malha aberta, é dado por:
G MA
20.log(G co m p )
(3.135)
GMA
Gcomp 10
20
5, 623
(3.136)
3.10.1 Compensador PID com Filtro para a Malha de Tensão
A metodologia de controle da malha de tensão é a apresentada em [8]. O
compensador escolhido para o projeto é um PID com filtro e cuja função de transferência
CV ( s ) é dada após os seguintes critérios de projeto:
Os zeros do compensador são colocados na freqüência natural de oscilação do filtro
LC:
f zero _1
f zero _ 2
1
2. . L.C
1
2. . L.C
2438, 46 Hz
(3.137)
2438, 46 Hz
(3.138)
Um pólo de CV ( s ) é colocado na origem para minimizar o erro estático:
f polo _1
0
(3.139)
O outro pólo é colocado 5 vezes acima da freqüência natural de oscilação do filtro LC:
f polo _ 2
5.2438, 46 Hz
12192, 3kHz
(3.140)
A Figura 3.14 mostra o diagrama esquemático do compensador adotado.
RF
RIP
2
Vo’
CFV2
11
CI
RIZ
1
Vc
+
4
3
Vref
Figura 3.14 – Compensador de tensão adotado para o inversor.
O sistema de equações para determinar os parâmetros do compensador é dado por:
96
RF
RIP
(3.141)
1
2. .RF .CFV 2
(3.142)
1
2. .RIZ .CI
(3.143)
RIP RIZ
2. .CI .RIP.RIZ
(3.144)
Gcomp
f zero _1
f zero _ 2
f polo _ 2
Assumindo RF 100k , os outros componentes são calculados a seguir:
RIP
CFV 2
RIZ
CI
RF
Gcomp
1
2. .RF . f zero _1
RIP.(
f polo _ 2
100.103
5, 623
1
2. . f zero _ 2 .RIZ
(3.145)
1
2. .100.103.2438, 46
1) 17, 78.103.(
f zero _ 2
17, 78k
0, 652nF
(3.146)
12192,3
1)
2438, 46
1
2. .2438, 46.71,12.103
71,12k
(3.157)
0,917nF
(3.148)
A função de transferência do compensador PID anteriormente indicado é dado por
(3.149):
CV ( s )
RF
.
RIP
(s
1
1
).( s
)
RF .CFV 2
RIZ .CI
RIP RIZ
s.( s
)
CI .RIP .RIZ
(3.149)
Portanto, a função de transferência do compensador PID com filtro projetado é dada
por:
97
C V ( s ) 5, 623.
(s 15337, 42).(s 15333, 42)
s .( s 76667)
(3.150)
Com a determinação do compensador, podemos traçar o diagrama de Bode do
compensador projetado.
Figura 3.15 – Diagrama de resposta em freqüência do compensador PID com filtro: ganho e fase.
A função de transferência de laço aberto do sistema compensado FTLAV ( s ) é dada
por:
F T L AV ( s ) G V ( s ).H V ( s ).C V ( s )
FTLAV ( s ) 5, 623.
( s 15337, 42).( s 15337, 42)
s.( s 76667).( s 2 .4, 26.10 9 s.20,573.10
(3.151)
6
1, 001)
(3.152)
Deve-se agora traçar o diagrama de resposta em freqüência do sistema de laço aberto
compensado. Neste caso, deve ser verificado se a freqüência de cruzamento de ganho e a
margem de fase estão de acordo com o requerido de modo a garantir a estabilidade e a
correta operação do conversor.
98
Figura 3.16 – Diagrama de resposta em freqüência do sistema compensado com PID com
filtro: ganho e fase.
Neste caso, deve ser verificado se a freqüência de cruzamento de ganho e a margem
de fase estão de acordo com o requerido de modo a garantir a estabilidade e a correta
operação do conversor.
A partir da Figura 3.16 podemos constatar que a freqüência de cruzamento ficou um
pouco abaixo dos 6,25kHz e que a margem de fase ficou por volta de 27o.
Margem _ fase
Margem _ ganho
27o
infinito
(3.153)
(3.154)
A resposta ao degrau unitário do sistema compensado é então mostrada na Figura
3.17. O diagrama da resposta ao degrau unitário diz ao projetista como o sistema está
seguindo a entrada de referência. Nesse caso, os principais parâmetros a serem observados
são o máximo sobre-sinal atingido pela saída do sistema durante a resposta transitória, o
tempo que o sinal leva para atingir um valor igual a 2% ou 5% do valor de estado
estacionário (tempo de acomodação) e o próprio valor do erro de estado estacionário, que
para um sistema de controle eficiente deve ser igual a zero.
99
Figura 3.17 – Resposta ao degrau unitário do sistema compensado.
Como pode ser observado, o sobre-sinal ficou em torno de 23% e o tempo de
acomodação ficou em torno de 1ms .
3.10.2 Determinação do Período de Amostragem
Segundo [10]-[12], a freqüência de amostragem mínima,
largura de banda em malha fechada desejada seja
b
s
, de um sistema cuja
, é determinada pela expressão
(3.155):
s
2
(3.155)
b
Esse resultado é conhecido como teorema da amostragem ou freqüência de Nyquist.
Esse valor serve como base para a limitação de qual freqüência pode ser utilizada na seleção
da taxa de amostragem do conversor analógico digital.
Segundo [12], com o objetivo de reduzir o tempo de resposta de uma planta e prover
mais suavidade (ou reduzir a degradação de sinal) a taxa de amostragem deverá ficar em
uma faixa descrita pela expressão (3.156):
6
s
b
40
(3.156)
100
No caso do sistema em questão, a largura de banda do sistema em malha fechada é na
ordem de 4kHz. Adotando o critério descrito por [12], a taxa de amostragem tem a seguinte
faixa (kSPS = kilo samples per second, ou mil amostras por segundo):
24kSPS
f sample
160kSPS
(3.157)
O DSP adotado (dsPIC30F2020) é capaz de realizar uma taxa de amostragem máxima
de 2000kSPS , porém deseja-se que a ação do controlador esteja na mesma freqüência de
amostragem. Isso é necessário uma vez que se pode utilizar uma elevada taxa de
amostragem para programar rotinas de detecção de curto-circuito com constantes de tempo
na ordem de micro-segundos.
O DSP selecionado possui as características necessárias para o projeto. Decidiu-se
utilizar uma taxa de amostragem de 50kSPS por porta analógica, uma vez que está dentro
dos limites estabelecidos em [12]. Este valor também garante que o processador não fica
sobrecarregado processando as malhas de controle do inversor.
3.10.3 Projeto do Compensador PID Discreto a partir do PID Analógico
Nesta seção é apresentado o projeto do compensador discreto, proveniente do
modelo do PID contínuo desconsiderando o pólo referente à filtragem. A obtenção das
expressões para o PID discreto foi apresentada na seção 2.8.2, sendo adotado o modelo de
diferença com passo atrás por questões de eficiência numérica e computacional.
Com base no modelo adotado, foram definidos alguns parâmetros fundamentais,
como a freqüência de amostragem do sinal (50kSPS) e o formato numérico que será
utilizado na realização do controlador no DSP (formato fracional sinalizado), já discutido
anteriormente.
Com base no modelo apresentado no capítulo 2.8.2, é possível obter a função de
transferência discreta do compensador PID sem filtro, uma vez que já se possui o projeto do
compensador analógico. Entretanto, para o PID sem filtro deve-se utilizar a expressão
(3.150) e remover o pólo correspondente ao filtro passa baixa. O projeto do PID discreto
toma esta equação como referência matemática.
CV ( s )
A.
( s z1 ).( s z2 )
s
A.
s 2 ( z1
z2 ).s z1.z2
s
Kd .s Kp
Ki
s
(3.158)
101
A função de transferência do controlador PID sem filtro é dada por:
CV ( s )
A.
( s 15337, 42).( s 15337, 42)
s
(3.159)
O ganho que o compensador, tomando como primeira iteração A = 1, possui em malha
aberta na freqüência de cruzamento desejada é, de acordo com a Figura 3.18, igual a 93,1dB.
Figura 3.18 – Resposta em freqüência do PID sem filtro para A = 1.
Logo, o ganho que o compensador de tensão deverá proporcionar a malha aberta é
dado por:
A
1
10
(93,1 15)
20
8035, 26
(3.160)
A
1
8035
(3.161)
A função de transferência do PID sem filtro é então dada por:
CV ( s )
1 ( s 15337, 42).( s 15337, 42)
.
8035
s
(3.162)
O diagrama de Bode do compensador PID sem filtro projetado é então mostrado na
Figura 3.19.
102
Figura 3.19 – Diagrama de Bode do PID sem filtro.
A partir da Figura 3.19 podemos observar que o ganho do PID sem filtro na freqüência
de 6,25kHz é de exatamente 15dB, como esperado.
O diagrama de Bode da função de transferência de laço aberto com o PID sem filtro é
mostrado na Figura 3.20.
Figura 3.20 – Resposta em freqüência da função de transferência de laço aberto para o PID sem filtro.
103
A partir da Figura 3.20 podemos observar que a nova freqüência de cruzamento é igual
a exatamente 6,25kHz, como esperado. Além disso:
M arg em _ fase
55o
(3.163)
Margem _ ganho
infinito
(3.164)
Assim, podem-se obter os valores desejados para as constantes do PID:
Kd
A
0, 0001244
Kp
A.( z1 z2 ) 3,816
(3.166)
Ki
A.z1.z2
(3.167)
(3.165)
29275,17
Para a versão discreta do compensador, usa-se a expressão (3.166), mostrada no
Capítulo 2, com os coeficientes apropriados:
VCTRL (z) 1 c0 c1.z 1 Kd.z 2 (c0 / Ts ) (c1 / Ts ).z 1 (Kd / Ts .z 2 ) K1 K2.z 1 K3.z 2
.
e(z)
Ts
1 z1
1 z1
1 z1
(3.168)
Assim, podemos calcular os parâmetros do PID discreto como mostrado:
Ts
co
Ts
Kd
Ts
KiTs
Kp
(3.169)
0, 0001244
29275,17.20.10
20.10 6
Kd
Ts
c1
Ts
20 s
Kp 2
Kd
Ts
0, 0001244
20.10 6
3,18 2.
6
3,816 10, 62
(3.170)
6, 22
(3.171)
0, 0001244
20.10 6
15, 62
(3.172)
O compensador discreto assim projetado é dado abaixo:
10, 62 15, 62.z 1 6, 22.z
CV ( z )
1 z1
2
U ( z)
E( z)
(3.173)
A equação de diferenças obtida dessa forma é dada então por:
U (k ) U ( k 1) 10, 62.E ( k ) 15, 62.E ( k 1) 6, 22 E ( k 2)
(3.173)
U ( k ) 10, 62.E ( k ) 15, 62.E ( k 1) 6, 22 E ( k
(3.174)
2) U ( k 1)
104
Onde U(k) é o sinal de controle na saída do controlador no k-ésimo período de
amostragem e E(k) é o erro na saída do inversor também no k-ésimo período de
amostragem.
Para obter os valores apropriados para as constantes do compensador PID pode-se
proceder de várias formas. Uma delas é utilizar os valores das constantes calculadas e fazer
o devido ajuste à numeração de ponto fixo através da multiplicação por um valor potência
de 2 com o arredondamento apropriado. Outra forma é dividir cada constante por um
denominador comum, obtendo assim um número de ponto fixo com base Q15 e utilizar este
denominador como uma multiplicação na saída do algoritmo do compensador. A Tabela 3
exibe os valores das constantes do compensador no formato fracional sinalizado.
Tabela 7 – Valores dos parâmetros do compensador discreto (PID) no formato Q0.15.
Parâmetros: PID discreto
Valor Decimal
Ponto-fixo: Q0.15
C0/Ts
10,62
5437
C1/Ts
-15,62
-7997
Kd/Ts
6,22
3185
Ganho necessário na saída do Compensador
64
3.11 Análise da Malha de Corrente
A Figura 3.21 mostra o diagrama de blocos do sistema com a malha de corrente
inserida nele.
Figura 3.21 – Diagrama de blocos do controle do inversor, utilizando malha de corrente e tensão.
105
Onde:
CV ( s) : É a função de transferência do compensador da malha de tensão.
CI ( s) : É a função de transferência do compensador da malha de corrente.
Gi ( s ) : Função de transferência da corrente no indutor em relação à tensão de controle.
GV ( s ) : Função de transferência da tensão de saída em relação à tensão de controle.
HV ( s ) : Função de transferência do ramo de realimentação da malha de tensão.
( s ) : Função de transferência do ramo de realimentação da malha de corrente.
O interruptor mostrado na Figura 3.21 representa no domínio digital uma decisão
lógica que é tomada no código de controle de quando a malha de corrente deve atuar ou
não, que é de acordo com o valor limite da corrente através do indutor (15A).
A função de transferência de malha aberta da corrente através do indutor em relação
ao sinal de controle é dada pela expressão (3.174):
I L (s)
Vc ( s )
VCC
.
Vtri _ pk Ls 2 ( R
L
s
1
RC
L
)s
RC
RL
RC
1
C
(3.175)
Essa função de transferência de malha aberta leva em consideração a função de
transferência da planta, do circuito de amostragem de corrente com todos os ganhos
associados e do modulador. Dessa forma:
F T M AiIN V ( s ) G i ( s ).F m ( s ). ( s )
(3.176)
( s ) é a função de transferência do circuito de amostragem da corrente e incorpora os
ganhos envolvidos na amostragem do sistema, transformando o sinal de corrente, cujo valor
de fundo de escala é de 20A, em um sinal de tensão cujo fundo de escala é de 5V. Vtri _ pk
representa o valor de pico do sinal da portadora. Esse valor é igual a 5V.
Considerando os valores já calculados anteriormente, temos que:
C = 6µF
L = 710µH
RL = 0,154
Rnominal = R = 34,571
Vtri _ pk = 5V
VCC = 200V
106
Assim, a função de transferência do ramo direto da malha de corrente não
compensada do inversor é dada por:
I L ( s)
Vc (s)
200
.
5
1
34,571.6.10 6
710.10 6
47,47.10 3
(0,154
)
s
34,571.6.10 6
34,571.6.10 6
s
710.10 6 s2
Gi ( s )
I L (s)
Vc ( s )
40.
1
6.10 6
( s 4821)
(710.10 s 3, 47 s 166895,52)
6
2
(3.177)
A função de transferência do circuito de amostragem da corrente é dada por:
( s) 0, 25.
( s.6,36.10
6
1
1).( s.6,36.10
6
2)
(3.178)
Portanto, a função de transferência de laço aberto do sistema não compensado é dada
por:
FTMAiINV
10.
( s.6,36.10
6
( s 4821)
1).( s.6,36.10
2).(710.10 6 s 2 3, 47 s 166895,52) (3.179)
6
O diagrama de Bode do sistema não compensado é mostrado na Figura 3.22.
Figura 3.22 - Diagrama de Bode do sistema não compensado de laço aberto.
107
A resposta ao degrau do sistema não compensado de laço aberto é mostrada na Figura
3.23.
Figura 3.23 – Resposta ao degrau unitário do sistema não compensado de laço aberta.
Pode-se observar que o erro de estado estacionário é muito elevado (em torno de 85%), o
máximo sobre-sinal é também muito elevado e o tempo de acomodação fica em torno de 2ms ,
evidenciando a necessidade do controle.
3.11.1 Projeto de um Compensador PI com Filtro para a Malha de Corrente
Segundo recomendações da teoria de controle, a freqüência de cruzamento de ganho
da função de transferência de malha aberta deverá ser menor que
2. f s
. Neste projeto, o
4
valor adotado foi:
f cruz
f cruz
25.103
4
fs
4
(3.180)
6, 25kHz
(3.181)
O compensador escolhido para o projeto é um PI com filtro e cuja função de
transferência Ci ( s ) é dada após os seguintes critérios de projeto:
108
O zero do compensador é alocado uma década abaixo da metade da freqüência de
chaveamento:
1 fs
.
10 2
f z1
1, 25kHz
(3.182)
Um pólo de Ci ( s ) é alocado na origem para minimizar o erro estático:
f p1
0
(3.183)
O outro pólo é alocado acima da metade da freqüência de chaveamento. Neste caso
foi adotado a própria freqüência de chaveamento:
f p2
fs
25kHz
(3.184)
A partir da Figura 3.22, o ganho de malha aberta do sistema não compensado na
freqüência de cruzamento de ganho desejada é igual a:
G freq _ 6 , 2 5 kH z
1 3, 7 4 d B
(3.185)
Logo, esse é o ganho que o compensador de corrente deve fornecer:
G freq _ 6,25 kHz
10
13,74
20
4,86
(3.186)
O circuito esquemático do compensador de corrente é mostrado na Figura 3.24.
Figura 3.24 – Compensador de Corrente adotado.
O sistema de equações para determinar os parâmetros do compensador é dado por:
R1
R2
(3.187)
1
2. .R1.C 2
(3.188)
G
f z1
109
f p2
Assumindo R 2 10k
C1 C 2
2. .R1.C1.C 2
(3.189)
, os outros parâmetros são calculados como segue:
R1 R 2.G 10.103.4,86 48, 6k
C2
C1
1
2. .R1. f z1
C2
2. .R1.C 2. f p 2 1
1
2. .48, 6.103.1, 25.103
(3.190)
2, 62nF
2, 62.10 9
137,89 pF
2. .48, 6.103.2, 62.10 9.25.103 1
(3.191)
(3.192)
A função de transferência do compensador anteriormente indicado é dada por:
1
)
1
R
1.
C
2
.
R 2.C1 s.( s C1 C 2 )
C 2.C1.R1
(s
Ci ( s )
(3.193)
Portanto, substituindo os valores encontrados, obtemos que:
C i(s)
725215, 75.
( s 7853, 48)
s .( s 157064, 75)
O diagrama de Bode do compensador projetado é mostrado na Figura 3.25.
Figura 3.25 – Resposta em freqüência do compensador de corrente adotado.
(3.194)
110
O compensador assim projetado fornece então um ganho de 13,3dB na frequência de
6,25kHz.
A função de transferência de malha aberta do sistema compensado é então dada por:
FTMAi _ compensado
Gi ( s ). ( s ).Ci ( s )
(3.195)
Substituindo as respectivas funções de transferência, obtemos que:
FTMA 7252175,5.
(s 7853,48).(s 4821)
s.(s 157064,75).(s.6,36.10 1).(s.6,36.10 6 2).(710.10 6 s2 3,47s 166895,52) (3.196)
6
O diagrama de Bode da função de transferência de laço aberto do sistema
compensado é então mostrado na Figura 3.26.
Figura 3.26 – Resposta em freqüência do sistema compensado de laço aberto.
Analisando a Figura 3.23, podemos constatar que a freqüência de cruzamento de
ganho do sistema compensado de malha aberta está de acordo com o valor desejado, que
era de 6,25kHz. Além disso:
M argem _ fase
46o
M argem _ ganho 13,3dB
(3.197)
(3.198)
111
A resposta ao Degrau Unitário do sistema compensado de malha fechada é mostrada
na Figura 3.27.
Figura 3.27 – Resposta ao degrau unitário do sistema compensado de malha fechada.
A partir da Figura 3.27 o máximo sobre-sinal ficou em torno de 9% e o tempo de
acomodação ficou em torno de 2ms .
3.11.2 Projeto do Compensador PI Discreto a partir do PI Analógico
Para o projeto do PID discreto utilizamos a expressão (3.194) desconsiderando a
presença do pólo do filtro passa baixa. A função de transferência do compensador PI sem
filtro é dada então por:
C i(s)
A.
( s 7853, 48)
s
(3.199)
O diagrama de Bode do compensador PI sem filtro, considerando como uma primeira
iteração A = 1, é então mostrado na Figura 3.28. O valor do ganho A deve ser alterado de
modo que o compensador sem filtro possa fornecer o ganho necessário para o sistema de
laço aberto, haja vista que o compensador que é implementado digitalmente não possui o
pólo correspondente ao filtro passa baixa que o compensador analógico possui.
112
Figura 3.28 – Diagrama de Bode do compensador PI sem filtro para A = 1.
A partir da Figura 3.25 temos então que o ganho que o compensador PI sem filtro para
A = 1 fornece na freqüência de 6,25kHz é igual a 0,173dB. Logo, o valor do ganho desse
compensador para proporcionar ao sistema um ganho de 13,74db é dado por:
A 10
(13,74 0,173)
20
4, 768
(3.200)
A função de transferência do compensador PI sem filtro torna-se:
Ci ( s )
4, 768.
( s 7853, 48)
s
(3.201)
O diagrama de Bode desse compensador é então mostrado na Figura 3.29.
A partir da Figura 3.29 obtemos que o ganho do compensador na freqüência de
6,25kHz é exatamente 13,74dB, como esperado. O compensador projetado então atende
aos requisitos previamente determinados. A partir da equação em tempo contínuo desse
compensador obteremos a equação discretizada do controlador que será incluída no código
de controle. Mais uma vez será utilizado o método de discretização com um passo atrás
pelos mesmos motivos anteriormente descritos.
113
Figura 3.29 – Diagrama de Bode do compensador PI sem filtro projetado.
A resposta em freqüência do sistema de laço aberto com o compensador sem filtro é
então mostrada na Figura 3.30.
Figura 3.30 – Resposta em freqüência do sistema de laço aberto com compensador PI sem filtro.
114
A forma discreta do compensador PI sob a forma de um passo atrás é dada por
(3.201):
VCRTL ( z )
e( z )
Onde c0
KiTs 2
KpTs e c1
1
1 c0 c1 . z
.
Ts 1 z 1
(3.202)
KpTs . Dessa forma, a função de transferência do
compensador PI projetado sem filtro é igual a:
C i(s)
4, 768.
( s 7853, 48)
s
(3.203)
Onde:
Kp
4, 768
(3.204)
Ki 37445,39
(3.205)
Assim, os parâmetros do compensador discreto são calculados como segue:
c0
KiTs 2
KpTs
c1
37445,39.202.10
KpTs
12
4, 768.20.10
4, 768.20.10
6
6
0, 000110
0, 00009536
(3.206)
(3.207)
Assim, o compensador PI discreto é dado por:
VCRTL ( z )
e( z )
1 c0 c1.z
.
Ts 1 z 1
1
1
0, 000110 0, 00009536.z
.
6
20.10
1 z1
VCRTL ( z )
e( z )
VCRTL ( z )
e( z )
5,5 z 4, 768
z 1
5,5 4, 768.z
1 z1
1
1
(3.208)
(3.209)
U ( z)
E ( z)
(3.210)
A equação de diferenças do controlador é dada por:
U ( k ) U ( k 1)
U (k )
5,5 E ( k ) 4, 768 E ( k 1)
5, 5 E ( k ) 4, 768 E ( k 1) U ( k 1)
A Tabela 8 mostra os valores das constantes no formato Q0.15.
(3.211)
(3.212)
115
Tabela 8 – Valores dos parâmetros do compensador (PI) no formato Q0.15.
Parâmetros: PID discreto
Valor Decimal Ponto-fixo: Q0.15
co/Ts
5,5
2816
c1/Ts
-4,768
-2441
Kd/Ts
0
0
Ganho necessário na saída do compensador
64
3.12 Conclusão
Neste capítulo foi apresentada uma metodologia de dimensionamento dos
componentes físicos do inversor, como a seleção dos interruptores, magnéticos e demais
componentes passivos. Além do projeto do estágio de potência, foi apresentada toda a
metodologia de projeto dos compensadores da malha de tensão e corrente, incluindo um
método simplificado para o projeto do compensador discreto a partir do modelo analógico
contínuo, através da seleção correta de um modelo para a variável complexa “s” para
convertê-la na variável complexa “z”.
Com a cuidadosa seleção dos pólos e zeros do compensador e freqüência de
cruzamento desejada em malha aberta, é possível obter compensadores adequados para o
projeto do inversor de tensão monofásico tipo ponte completa controlado discretamente.
116
CAPÍTULO 4
RESULTADOS DE SIMULAÇÕES
4.1 Introdução
Neste capítulo são apresentados os resultados de simulação do inversor projetado
neste trabalho. As mesmas são compostas das principais formas de onda do inversor. Além
disso, são mostrados os valores de simulação de alguns parâmetros relevantes do inversor
assim como é mostrado o funcionamento das malhas de controle.
Também são descritos alguns trechos do código do programa de maneira qualitativa.
4.2 Geração da Senóide de Referência
A senóide de referência, utilizada tanto para a modulação quanto para o controle da
malha de tensão do inversor, é gerada internamente no dsPIC. A senóide por completo é
formada por 128 pontos discretos. Para isso, foi definido no código um vetor que armazena
32 pontos discretos referentes à um quarto de ciclo da senóide. Os demais pontos são
formados por simetria e reflexão desses 32 pontos armazenados via firmware. Com isso
houve uma substancial economia de memória, visto que o dsPIC utilizado possui apenas 512
Bytes de memória RAM.
Dessa forma, como o período da senóide gerada deve ser de 60Hz e 128 pontos
definem a senóide, então a freqüência de cada um dos 128 pontos da senóide é 128* 60Hz =
7680Hz. Assim, cada ponto da senóide discreta tem uma duração de 130,2083µs. A rotina de
geração da senóide de referência foi então implementada na interrupção do Timer 2 do
microcontrolador com a freqüência de interrupção exatamente igual a 7680Hz. Nessa
interrupção é também implementada a rotina que realiza a sincronização da senóide de
referência com a senóide da rede elétrica, fato este que é necessário em grande parte dos
equipamentos que possuem um inversor como estágio de saída, além da rotina de soft start
que também é implementada nessa interrupção.
Para mostrar como o algoritmo se comporta da maneira como está implementado no
dsPIC, o código foi implementado em linguagem C no software Visual Studio e simulado
através da ferramenta PSIM a partir da criação de um arquivo DLL, com os tempos de
amostragem e execução semelhantes ao que se espera do programa que será executado
117
internamente no dsPIC. O Algoritmo desenvolvido pode ser visualizado no Apêndice deste
trabalho.
A simulação do algoritmo pode ser visualizada na Figura 4.1, na qual o objeto “DLL”
representa o arquivo que contém a rotina da geração da senóide de referência.
Figura 4.1 – Esquemático utilizado para verificação da geração da senóide de referência.
O resultado da simulação pode ser observado na Figura 4.2.
Figura 4.2 – Senóide de referência gerada internamente no dsPIC.
A senóide de referência é comparada internamente no dsPIC com uma onda portadora
para a geração dos pulsos para os MOSFET’s do inversor. A onda portadora possui um
amplitude que varia de zero até 38400, que é um valor adimensional calculado a partir do
datasheet para que internamente a freqüência dessa onda portadora seja de 25kHz. Assim,
para que o índice de modulação calculado no capítulo 3 seja atendido, a amplitude dessa
senóide de referência foi calculada como 0,778* 38400 = 29875. Além disso, a freqüência
dessa senóide de referência é de 60Hz. Como a senóide de referência possui valores
negativos, para que ela fosse comparada com a onda portadora existente no módulo PWM
que só possui valores positivos, a senóide de referência foi dividida por dois e lhe dada um
offset de 19200, que é a metade do valor de pico da onda portadora.
118
4.3 Circuito de Amostragem da Tensão de Saída
O circuito de amostragem da tensão de saída é o circuito responsável por adequar o
nível do sinal proveniente da saída do inversor, para um nível de sinal que possa ser lido pelo
pino do microcontrolador. Dessa forma, a estratégia adotada foi a de usar um circuito
diferencial com filtro. Dessa forma, uma amplitude de tensão de fundo de escala de 200V na
saída do inversor corresponde a uma amplitude de tensão de 5V no pino do
microcontrolador, uma tensão de 0V na saída do inversor corresponde a uma amplitude de
2,5V no pino do microcontrolador e uma tensão de -200V na saída do inversor corresponde
a uma tensão de 0V no pino de entrada do microcontrolador. O circuito esquemático com os
valores calculados no Capítulo 3 é mostrado na Figura 4.3.
Figura 4.3 – Esquemático do circuito de amostragem da tensão de saída do inversor.
Para validação do circuito, realizou-se uma simulação com uma amplitude de tensão
de entrada de 200V, o fundo de escala adotado.
Figura 4.4 – Tensão de saída do circuito de amostragem da tensão do inversor.
119
De acordo com a Figura 4.4, podemos observar que uma tensão de 200V de pico na
saída do inversor corresponde a uma tensão de 5V no pino do microcontrolador, enquanto
uma tensão de pico de -200V corresponde a 0V na saída do circuito de amostragem.
A Figura 4.5 mostra que a uma tensão de saída do inversor de 0V corresponde a uma
tensão no pino do microcontrolador de 2,5V.
Figura 4.5 – Para uma tensão de 0V na saída do inversor, temos 2,5V no pino do microcontrolador.
Na Figura 4.6 temos a tensão de saída do filtro quando na sua entrada é aplicado a tensão
nominal do inversor de 110Vrms.
Figura 4.6 – Saída do filtro para tensão nominal do inversor.
A tensão de pico no pino do mirocontrolador é igual a 4,44V.
4.4 Circuito de Amostragem da Corrente no Indutor
O circuito de amostragem da corrente no indutor é o circuito responsável por adequar
o nível do sinal de corrente que passa através do indutor filtro do inversor, para um nível de
sinal que possa ser lido pelo pino do microcontrolador. Dessa forma, a estratégia adotada foi
também a de usar um circuito diferencial com filtro. Dessa forma, uma corrente de pico de
20A na saída do inversor, que foi o fundo de escala adotado, corresponde a uma amplitude
120
de tensão de 5V no pino do microcontrolador, uma corrente de 0A no indutor do inversor
corresponde a uma amplitude de 2,5V no pino do microcontrolador e uma corrente de -20A
no indutor do inversor corresponde a uma tensão de 0V no pino de entrada do
microcontrolador. A leitura da corrente que passa pelo indutor é feita por um transformador
de corrente (TC) que tem uma relação primário/ secundário de 1:1000. No secundário do TC
é colocado em paralelo um resistor shunt de 10 . Dessa forma, uma corrente de 20A no
indutor corresponde a uma corrente de 20mA no secundário do TC, que ao passar pelo
shunt produz uma queda de tensão de 0,2V. Esse é então o valor de fundo de escala para a
entrada do circuito de amostragem. Após passar pelo filtro, a tensão no pino do
microcontrolador é igual a 5V. O circuito esquemático com os valores calculados no Capítulo
3 é mostrado na Figura 4.7.
Figura 4.7 – Esquemático do circuito de amostragem da corrente.
A Figura 4.8 mostra o resultado da simulação para uma corrente de pico de 20A no
indutor. A tensão de pico no pino do microcontrolador é de 5V.
Figura 4.8 – Corrente no Indutor e tensão no pino do microcontrolador.
121
A figura 4.9 mostra que para uma corrente de 0A no indutor, temos uma tensão de
2,5V no pino do microcontrolador.
Figura 4.9 – Tensão de 2,5V no pino do microcontrolador para uma corrente de 0A no indutor.
4.5 Sincronização da Tensão de Saída com um Clock Externo
Na rotina de geração da senóide de referência foi implementado um código de
sincronização da tensão de saída do inversor com um sinal de clock aplicado num pino do
microcontrolador. Esse sinal de clock possui a freqüência de 60Hz, ciclo de trabalho igual a
0,5 e tensões de 0V e 5V. Esse sinal pode ser, por exemplo, proveniente da tensão da rede
elétrica através de um circuito de adequação de sinal, para que a tensão de saída do inversor
esteja sincronizada com a tensão da rede elétrica, fato esse que é exigido em muitos
equipamentos eletrônicos que possuem um inversor como estágio de saída.
A Figura 4.10 mostra o diagrama esquemático do circuito montado no PSIM para a
verificação da sincronização.
Figura 4.10 – Esquemático para validação da rotina de sincronização.
122
Com a sincronização sendo realizada na mesma rotina de geração da senóide de
referência, o sinal de referência que vai para o controlador já está sincronizado. Esse sinal
leva no máximo 128 ciclos da senóide para realizar a sincronização, pois para que não haja
uma mudança brusca no sinal de referência e conseqüentemente na tensão de saída, cada
vez que essa rotina é executada o ponto de sincronia é deslocado de apenas uma unidade. A
Figura 4.11 mostra o resultado da simulação a partir do instante zero, onde a senóide de
referência ainda não está sincronizada.
Figura 4.11 – Senóide de referência ainda não sincronizada com o clock de entrada.
Já a Figura 4.12 mostra o resultado de simulação para um período de tempo superior a
2s, onde a senóide de referência já está sincronicada com os pulsos de clock de entrada.
Figura 4.12 – Senóide de referência sincronizada com o clock de entrada.
123
4.6 Circuito do Inversor em Malha Aberta
O esquemático do circuito do inversor em malha aberta é mostrado na Figura 4.13. O
circuito esquemático montado no PSIM para simulação é composto pelas seguintes partes: O
microncontrolador (o bloco DLL na Figura 4.13) gera duas senóides de referência defasadas
entre si de 180o. Cada senóide de referência possui uma amplitude que varia de zero (o valor
mínimo) até 38400 (o valor máximo). Essas senóides são comparadas com duas ondas
portadoras de amplitude que variam de zero a 38400, gerando dessa forma os pulsos que
irão acionar os interruptores do inversor. Tudo esse processo de comparação é realizado
internamente no microcontrolador. Na Figura 4.13 também é mostrado um bloco digital
monoastável com um pulso de duração de 500ns para simular o tempo morto dos
interruptores de cada braço do inversor. Esse valor de tempo morto pode ser configurado
internamente em um registrador interno do dsPIC.
Figura 4.13 – Esquemático do inversor em malha aberta sem carga.
124
O resultado da simulação é mostrado na Figura 4.14, onde temos na saída do inversor
uma onda senoidal de valor eficaz igual a 110V.
Figura 4.14 – Tensão de saída do inversor em malha aberta.
A Figura 4.15 mostra o valor eficaz e o valor médio da tensão de saída simulados no
PSIM. Observe que a tensão eficaz de saída ficou de aproximadamente 110Vrms e o seu
valor médio aproximadamente de 0V, como esperado.
Figura 4.15 – Resultados de simulação em malha aberta no PSIM.
A Figura 4.16 mostra o resultado da simulação quando é aplicada a carga nominal
linear resistiva (RL = 34,5 ) na saída do inversor.
Figura 4.16 – Tensão de saída com carga nominal linear.
125
O valor eficaz da tensão de saída caiu para em torno de 105V, como mostrado na
Figura 4.17.
Figura 4.17 – Tensão eficaz de saída a malha aberta com carga resistiva nominal.
Podemos observar que houve uma queda na tensão de saída, evidenciando a
necessidade do controle da tensão.
É muito comum o inversor alimentar cargas não lineares cuja entrada são circuitos
retificadores de tensão. A Figura 4.18 mostra o esquemático do inversor com uma carga não
linear na saída do inversor.
Figura 4.18 – Esquemático do inversor em malha aberta para carga não linear.
126
A Figura 4.19 mostra o resultado da simulação para a carga não linear apresentada.
Figura 4.19 – Tensão e corrente de saída do inversor em malha aberta para carga não linear.
A Figura 4.20 mostra o valor eficaz da tensão de saída.
Figura 4.20 – Tensão eficaz de saída em malha aberta para carga não linear.
Podemos observar a partir das Figuras 4.17 e 4.20 que há uma queda de tensão
quando aplicamos carga na saída do inversor em malha aberta e que há certa distorção da
tensão de saída quando é aplicado uma carga não linear na saída do inversor. Esses fatos
comprovam então a necessidade de um circuito de controle para manter a tensão de saída
do inversor com baixa distorção e com pequena alteração do valor eficaz da tensão de saída.
4.7 Circuito do Inversor em Malha Fechada
A partir do Capítulo 3 obtivemos a equação de diferenças do controlador PID da malha
de tensão e do controlador PI da malha de corrente. Essas equações de diferenças foram
então colocadas na rotina de interrupção do conversor A/ D do dsPIC com uma taxa de
amostragem de 50kSPS. A Figura 4.21 mostra todo o circuito esquemático montado no PSIM
para a simulação do inversor a malha fechada. Cada parte do diagrama esquemático será
descrita a seguir.
127
Figura 4.21 – Esquemático completo do inversor monofásico tipo ponte completa com controle digital.
O esquemático é composto pelos dois circuitos de comparação das senóides de
referência, pelos braços do inversor junto com o filtro LC de saída, pelos dois circuitos de
amostragem (o da tensão de saída e o da corrente no indutor) e por dois circuitos com
blocos DLL. Na DLL1, que está na parte de baixo da Figura 4.20, é gerada a senóide de
referência já sincronizada com o sinal de clock de entrada. Na DDL2, um pouco mais à
direita, é implementada as rotinas dos dois controladores do inversor. As duas primeiras
saídas são os sinais provenientes dos controladores que irão para os circuitos comparadores.
128
A Figura 4.22 mostra o resultado de simulação da tensão de saída do inversor com
malha fechada para a carga nominal resistiva (RL = 34,5 ).
Figura 4.22 – Tensão de saída do inversor ponte completa com controle digital.
A tensão eficaz de saída e o seu valor médio são mostradas na Figura 4.23.
Figura 4.23 – Valor eficaz e valor médio da tensão de saída para carga resistiva nominal.
A partir das Figuras 4.22 e 4.23 podemos constatar que a tensão de saída atingiu os
pré-requisitos desejados.
A corrente que passa através do indutor filtro de saída para a situação de carga
resistiva nominal é mostrada na Figura 4.24.
Figura 4.24 – Corrente através do indutor para carga nominal resistiva.
A Figura 4.25 mostra o valor eficaz e o valor médio dessa corrente.
129
Figura 4.25 – Valor eficaz e valor médio da corrente no indutor para carga nominal resistiva.
A ondulação no indutor filtro é mostrada na Figura 4.26. Seu valor máximo é 1,9 A.
Figura 4.26 – Ondulação de Corrente no Indutor Filtro do inversor.
A Figura 4.27 mostra a ondulação da tensão no capacitor filtro de saída.
Figura 4.27 – Ondulação de Tensão no Capacitor Filtro de saída.
A Figura 4.27 mostra que a ondulação de tensão no capacitor de filtro do inversor é
menor que 2 V.
A Figura 4.28 mostra a tensão sobre um dos quatro interruptores do inversor em um
determinado momento do chaveamento.
130
Figura 4.2
4.28 – Tensão sobre um interruptor do inversor num dado instante
instante.
A partir da Figura 4.28 é constatado que a tensão máxima sobre cada interruptor fica
em torno de 200V ( que é a tensão do barramento) quando este está aberto.
A Figura 4.29 mostra a forma de o
onda
nda da corrente sobre este mesmo interruptor.
Figura 4.29 – Corrente através de um interruptor.
O valor eficaz e o valor médio dessa corre
corrente
nte são mostrados na Figura
Figura 4.30
4.30.
Figura 4.30 – Valor Eficaz e Valor Médio sobre cada Interruptor do Inversor.
As Figuras 4.31
4.31 e
e 4.32
4.32 mostram a tensão de saída do inversor para outras diferentes
situações de cargas lineares resistivas.
131
Figura 4.31 – Tensão de saída para carga resistiva RL = 200 .
A tensão eficaz de saída é mostrada na Figura 4.32.
Figura 4.32 – Tensão Eficaz de saída para carga resistiva RL = 200 .
Figura 4.33 – Tensão de saída do inversor para carga resistiva RL = 2k .
O valor eficaz da tensão de saída é mostrado na Figura 4.34.
Figura 4.34 – Valor eficaz da Tensão de saída para carga resistiva RL = 1k .
A Figura 4.35 mostra o circuito de potência do inversor com malha fechada e carga não
linear (uma ponte retificadora com filtro capacitivo).
132
Figura 4.35 – Circuito de potência do inversor com carga não linear.
A forma de onda da tensão de saída do inversor para essa situação de carga é
mostrado na Figura 4.36.
Figura 4.36 – Tensão de saída do inversor para carga não linear.
O valor médio e o valor eficaz dessa tensão de saída são mostrados na Figura 4.37.
Figura 4.37 – Valor médio e valor eficaz da tensão de saída para carga não linear.
A partir das Figuras 4.31 até 4.37 podemos constatar que o valor eficaz da tensão de
saída do inversor praticamente não mudou de valor e não houve uma distorção da tensão de
saída, confirmando dessa maneira a eficácia do controle de tensão.
133
A corrente de carga para essa mesma situação de carga não linear é mostrada na
Figura 4.38.
Figura 4.38 – Corrente de saída do inversor para uma carga tipo retificador com filtro capacitivo.
A malha de tensão faz com que a tensão de saída do inversor permaneça senoidal e
com valor eficaz de aproximadamente 110 V independente de variações na carga dentro do
limite de potência pré-estabelecido do conversor. Entretanto, se na saída do inversor é
conectado uma carga que requeira uma corrente de valor elevado, isso pode acarretar na
queima dos diversos componentes do inversor devido a esse valor elevado de corrente. Para
que isso não ocorra, foi introduzida no microcontrolador uma malha de corrente de
proteção para que possa limitar o valor da corrente através do indutor em um valor préestabelecido. Dessa forma, foi escolhido o valor de 15A como valor limite da corrente. O
objetivo então dessa malha de controle é limitar a corrente através do indutor filtro do
inversor caso ela tente ultrapassar o valor de 15A.
No código de controle do dsPIC, a malha de corrente foi então introduzida
independentemente da malha de tensão, ou seja, em condições normais de operação do
conversor está atuando apenas a malha de tensão. Caso a corrente através do indutor tente
ultrapassar o valor de 15A, a malha de tensão é desabilitada e malha de corrente passa a
atuar no circuito. Assim, a cada instante de amostragem a corrente através do indutor é
monitorada e de acordo com que esse valor codificado seja menor ou maior que 15A é feita
a seleção de qual controle irá atuar no circuito. Caso essa corrente seja maior que 15A, é
setado a referência de 15A para o controlador da malha de corrente, que agirá de modo a
impedir que essa corrente ultrapasse 15A. Caso a corrente lida seja menor que 15A, então a
senóide gerada será a referência para o controlador da malha de tensão.
Caso essa corrente permaneça superior a 15A por um curto período de tempo, então o
inversor é desligado por sobrecarga e o equipamento é então protegido. Caso nesse curto
134
intervalo de tempo a corrente volta a cair abaixo de 15A, então a malha de tensão volta a
atuar no circuito e o inversor volta a operar normalmente.
A Figura 4.39 mostra o circuito de potência do inversor com sua saída curto-circuitada.
Figura 4.39 – Inversor de tensão com a saída curto-circuitada.
A Figura 4.40 mostra o caso em que se a corrente através do indutor filtro ultrapassar
15A, então o inversor é desligado automaticamente (nesse caso não foi ainda colocada
nenhuma temporização no sistema).
Figura 4.40 – Corrente através do indutor durante um curto-circuito.
Pode-se perceber que o inversor é desligado após a corrente no indutor ultrapassar o
valor aproximado de 15A.
Na prática adota-se um intervalo de tempo de 3 a 4 ciclos da senóide para que haja o
desligamento do inversor, haja vista que para muitas cargas há uma corrente de pico
elevada no momento em que esta é conectada ao inversor e posteriormente essa corrente
diminui de valor em regime permanente. Com isso, garante-se que o inversor não vai
desligar por causa de um falso curto-circuito.
135
Figura 4.41 – Limitação da corrente em 15A para uma situação de curto-circuito na saída.
A Figura 4.41 mostra a limitação da corrente em 15A para uma situação de curtocircuito franco na saída do inversor. Observe que a corrente possui um sobre-sinal, que
normalmente existe em virtude do fato de que a malha de corrente deve ser muito veloz
para que isso seja evitado. Isso é difícil de ser conseguido devido às limitações físicas dos
componentes. Esse sobre-sinal tem uma duração de 1ms aproximadamente. Após esperar
um tempo de aproximadamente 70ms, caso o inversor ainda esteja na situação de sobrecorrente, o inversor é então comandado a desligar. Caso a corrente caia de valor nesse
intervalo de tempo, o inversor volta a funcionar normalmente.
4.8 Soft Start
Em muitas situações no momento da ligação do inversor surgem picos de tensão que
podem ser danosos ao equipamento. Isso é mostrado na Figura 4.42.
Figura 4.42 – Pico de tensão no momento da partida do inversor.
Como pode ser observado na Figura 4.42, no momento da partida do inversor surgiu
um elevado pico de tensão que pode ser prejudicial ao equipamento.
Para resolver esse problema na prática costuma-se utilizar um circuito de soft start
(partida suave) para minimizar os efeitos desses picos de tensão. Neste trabalho foi então
136
implementado uma rotina de partida suave da senóide de saída do inversor visando
minimizar esses efeitos destrutivos. A rotina foi implementada na saída da geração da
senóide de referência e consiste na atribuição de um ganho sempre crescente de zero até a
unidade por um pequeno intervalo de tempo para propiciar o crescimento gradual da
senóide de referência. Após atingir o valor unitário o ganho não é mais alterado e a senóide
passa a ficar com o seu valor nominal. A Figura 4.43 mostra a saída do inversor com partida
suave.
Figura 4.43 – Inversor com Partida Suave.
4.9 Taxa de Distorção Harmônica
A Taxa de Distorção Harmônica (THD) mede o grau de distorção de uma forma de onda
em relação à sua componente fundamental. A Figura 4.44 mostra o gráfico do THD em
percentagem em função do tempo.
Figura 4.44 – Taxa de distorção harmônica da saída do inversor.
A partir da Figura 4.44 podemos perceber que a tensão de saída do inversor apresenta
uma considerável distorção harmônica (em torno de 9%) na partida. A partir de então o THD
cai para valores dentro do limite pré-estabelecido, que era de 5%.
137
4.10 Comparação entre Resultados Teóricos e Resultados de Simulação
Para efeito de comparação a Tabela 9 mostra os resultados teóricos e os resultados
simulados dos principais parâmetros do circuito de potência do inversor.
Tabela 9 – Comparação entre resultados teóricos e resultados de simulação.
PARÂMETRO
VALOR TEÓRICO VALOR DE SIMULAÇÃO
Corrente Eficaz nos Interruptores
2,676A
2,59A
Tensão de Máxima sobre os Interruptores
200V
200V
Corrente Eficaz no Indutor
4,545A
3,113A
Ondulação de Corrente no Indutor
1,928A
2A
Ondulação de Tensão no Capacitor
2,2V
2V
Tensão Eficaz de Saída p/Carga Nominal
110V
110,738V
Corrente Eficaz de Saída p/Carga Nominal
3,181A
3,2A
Corrente Média de Saída p/Carga Nominal
0A
0,04A
Corrente Média de Entrada
1,944A
1,963A
Corrente Média nos Interruptores
1,645A
1,6A
Corrente de Pico na Saída do Filtro p/Carga Linear
6,427A
6,2A
Tensão Média de Saída
0V
-0,221A
Corrente Eficaz no Capacitor
0,47A
0,56A
A partir dos resultados da Tabela 9 podemos constatar a proximidade entre os valores
teóricos calculados e os valores obtidos por meio de simulação.
4.11 Conclusão
Neste capítulo foram apresentados os resultados de simulação do Inversor Monofásico
tipo Ponte Completa com Controle Digital. Foram apresentadas as formas de onda dos
principais parâmetros do inversor, assim como dos circuitos de amostragem. Também foram
mostradas algumas rotinas necessárias para o inversor funcionado como estágio de saída de
muitos equipamentos, como a sincronia e o soft-start. Os resultados de simulação validaram
138
o projeto do conversor para situações de carga linear e não linear em regime permanente e
também foram analisadas situações de curto-circuito na saída do inversor.
Ao final de todos os testes de simulação pode-se concluir que o inversor projetado
alcançou as especificações desejadas. Os valores teóricos calculados foram alcançados e as
malhas de controle se comportaram de maneira adequada.
139
CONCLUSÃO GERAL
Concluímos que com o rápido desenvolvimento da tecnologia e o desenvolvimento de
processadores digitais de sinais cada vez mais potentes e com um custo cada vez menor, a
utilização destes em equipamentos eletrônicos torna-se uma tendência nos dias atuais. Cada
vez mais funções dentro de um equipamento são atribuídas aos DSPs e estes, por sua vez,
incorporam cada vez mais uma maior quantidade de módulos internos e uma maior
capacidade de processamento.
Dentro desse contexto e em virtude da necessidade de se controlar tensões e
correntes nos conversores da Eletrônica de Potência, técnicas de controle digital tornam-se
cada vez mais freqüentes em virtude principalmente da redução do volume do equipamento
e, na maioria dos casos, devido a uma redução do custo do hardware a ser utilizado, visto
que mais atividades podem ser realizadas pelo processador.
Com a utilização de técnicas de controle discreto em conjunto com as técnicas
tradicionais do controle contínuo, podem-se desenvolver algoritmos robustos que realizam a
função de um compensador mantendo os níveis de tensão e corrente dos conversores em
valores pré-estabelecidos. Além disso, a flexibilidade que se ganha em tornar o processo
digital é de grande valia, haja vista que a simples mudança de uma linha de código ou
simplesmente de uma constante pode propiciar ao projetista diferentes maneiras de
visualizar o que acontece com o processo.
Com o objetivo de apresentar uma metodologia de projeto de tais conversores
controlado discretamente, foi desenvolvido neste trabalho o projeto de um inversor
monofásico tipo ponte completa. Esta topologia foi escolhida em virtude de ser esta o
estágio de saída de um grande número de equipamentos eletrônicos na área de conversão
de energia. Todo o projeto dos componentes de potência e dos componentes passivos foi
apresentado e uma metodologia de projeto dos compensadores de tensão e de corrente foi
desenvolvida.
O conversor projetado foi simulado com a utilização de ferramentas de software
consagradas como o PSIM e o Visual Studio e os resultados de maior importância foram
apresentados. A partir da visualização destes resultados, pode-se concluir que o inversor
projetado alcançou as especificações desejadas, tendo em sua saída uma tensão senoidal
com baixa distorção harmônica (menor que 5%) e valor eficaz pré-estabelecido (110Vrms).
140
Além disso, o inversor se comportou de maneira adequada para cargas lineares e não
lineares, comprovando a eficácia do projeto.
SUGESTÕES PARA TRABALHOS FUTUROS
Montagem do protótipo do inversor projetado.
Realizar outras estratégias de controle para as malhas de tensão e corrente.
Realizar o controle digital de outras topologias de conversores da Eletrônica de
Potência.
141
REFERÊNCIAS BIBLIOGRÁFICAS
[1] Alexandre S. Martins, Guilherme Bonan e Gustavo C. Flores. “Estágio Inversor para
Nobreaks”. Porto Alegre, 2005.
[2] Juliana A. Maia e José R. Pinheiro. “Harmônicos de Corrente e Tensão”. CRICTE, 2006.
[3] Ivo Barbi. “Introdução ao Estudo dos Conversores CC-CA”, 2ª Edição. Florianópolis,
Editora do Autor, 2006.
[4] Gilson Junior Schiavon. “No-break 1,2kVA, Senoidal, Operando em Malha Fechada:
Circuito de Potência, Circuito de Controle Analógico e Circuito de Controle Digital com DSC.”
Dissertação de Mestrado, Universidade Estadual de Londrina, Dezembro de 2007.
[5] Carlos Elmano de Alencar e Silva; “Inversor Monofásico Isolado em Alta Frequência com
Ampla Faixa de Tensão de Entrada”. Dissertação de Mestrado, Universidade Federal do
Ceará, Janeiro de 2007.
[6] Fernando Haeming. “Metodologia de Projeto de Inversores Monofásicos de Tensão para
Cargas Não-Lineares”. Dissertação de Mestrado, Universidade Federal de Santa Catarina,
Julho de 2005.
[7] D. Grahame Holmes; Thomas A. Lipo; 2003. Pulse Width Modulation for Power
Converters. USA; John Wiley & Sons.
[8] Luiz Daniel S. Bezerra; “Conversor de alto ganho associado a um inversor para aplicação
em sistema autônomo de energia elétrica”. Dissertação de Mestrado, Universidade Federal
do Ceará, Julho de 2009.
[9] L.F. Pereira de Melo. Análise e projeto de Fontes Chaveadas. Editora Érica. São Paulo,
1996.
[10] Buso Simone; Mattavelli Paolo, “Digital Control in Power Electronics – Lectures on
Power Electronics #2”, Morgan & Claypool publishers, 2006.
[11] K. J. ASTROM and B. WITTENMARK, “Computer Controlled Systems: Theory and Design”,
3rd edition, Englewood Cliffs, NJ: Prentice-Hall, 1997.
[12] F. Franklin Gene; J. David Powell; Michael L. Workman. “Digital Controlo f Dynamic
Systems”, 3rd edition, Prentice-Hall, 1997.
[13] D.M. Van de Sype, K. De Gussseme, A.P. Van den Bossche and J.A. Melkebeek, !Smallsignal Laplace-domain analysis of uniformly-sampled pulse-width modulators”, In 2004,
Power Electronics Specialits Conference (PESC), 20-25 June, PP. 4292-4298.
142
[14] S. V. G. Oliveira; Ivo barbi; E. F. R. Romanelle. Metodologia de projetos de inversores
monofásicos PWM senoidais alimentando cargas não lineares. Em: SEP’2002 - Seminário de
Eletrônica de Potência do INEP. Florianópolis, p.131-136, 2002.
[15] Colonel Wm Maclyman; Transformer and Inductor Design Handbook; Editora Marcel
Dekker;Estados Unidos, 2004.
[16] Luiz Maciel Menezes; “Desenvolvimento de uma fonte ininterrupta de energia com
possibilidade de uso em sistema fotovoltaico”. Dissertação de Mestrado, Universidade
Federal do Ceará, Julho de 2007.
[17] Gilson Junior Schiavon; “No-Break 1,2kVA, Senoidal, Operando em malha fechada:
Circuito de Potência, Circuito de controle Analógico e circuito de controle Digital com DSC”.
Dissertação de Mestrado, Universidade Estadual de Londrina, Dezembro de 2007.
[18] Ivo Barbi. Eletrônica de Potência, Edição do Autor, 4ª Edição, Florianópolis, 2002.
[19] D. C. Martins e Ivo Barbi. “Conversores CC-CC Básicos Não Isolados”. 2ª Edição,
Florianópolis, Editora do Autor, 2006.
[20] M. H. Rashid, “Power Electronics Handbook”. Academic Press 2001, California.
[21] B. D. Bedford. “Principles of Inverter Circuits”. New York: Wiley, 1964.
[22] Texas Instruments, “Power Transformer Design for Switching Power Supplies”, Texas
Instruments and Unitrode Corporation, 1983.
[23] Madhu Mangal, G. “Novel control strategy for sinusoidal PWM inverters”. In IEEE
Transactions on industry applications, Vol. IA-23, No. 3, May/June 1987.
[24] Kleber C. A. Souza. “Conversor CC-CA monofásico para interligar painéis fotovoltaicos ao
sistema elétrico”. Fortaleza, 2003. Dissertação de mestrado em Engenharia Elétrica –
Universidade Federal do Ceará, Fortaleza.
[25] R. O. Cáceres and I. Barbi, “A boost dc-ac converter: analysis, design, and
experimentation”, IEEE Trans. Power Electron., vol. 14, PP. 134-141, Jan. 1999.
[26] N. Vázquez, J. Almazan, J. Álvarez, C. Aguilar, and J. Arau, “Analysis and experimental
study of the Buck, boost and buck-boost inverters”, in Proc. IEEE PESC’99, Charleston, SC,
June 27 – July 1 1999, pp. 801 – 806.
[27] S. Funabaki, T. Tanaka, and T. Nishi, “A new buck-boost-operationbased sinusoidal
inverter circuit”, in Proc. IEEE PESC’02, Cairns, Australia, June 23-27, 2002, pp. 1624 – 1629.
[28] C. – M. Wang, “A novel single-stage full bridge buck-boost inverter”, in Proc. IEEE
APEC’03, Miami Beach, FL, Feb 9 – 13, 2003, pp. 51-57.
143
[29] S. B. kaer and F. Blaabjerg, “A novel single-stage inverter for the ac-module with
reduced low-frequency ripple penetration”, in Proc. 10th EPE European Conf. Power
Electronics and Applications, Tolouse, France, Sept. 2 – 4, 2003.
[30] Rong-Jong Wai; Chung-You Lin; Wen-Hung Wang; “Novel Power Control Scheme for
Stand-Alone Photovoltaic Generation System”, in IEEE Industrial Electronics, IECON 2006 –
32nd Annual Conference on 6-10 Nov. 2006.
[31] Myrzik, J. M. A.; “Novel inverter topologies for single-phase stand-alone or gridconnected photovoltaic systems”, in Power Electronics and Drive Systems, 2001.
Proceedings of 3rd World Conference on Volume 3, 12-16 May 2003.
[32] NGUYEN, Huy. “Design, Analysis and Implementation of Multiphase Synchronous Buck
DC-DC Converter for Transportable Processor”, Virginia Polytechnic Institute, 2004.
[33] RASHID, M. H. “Eletrônica de Potência”. Markon Books, 1999.
[34] MENEZES, Lucas Maciel. “Projeto Inversol-Desenvolvimento de uma fonte ininterrupta
de energia com possibilidade de uso em sistema fotovoltaico”. Dissertação de Mestrado,
Universidade Federal do Ceará, 2007.
[35] Sagar Khare, Mohammad Kamil and Microchip Technology Inc. “Offline UPS Reference
Design Using the dsPIC DSC”. Microchip, 2009.
[36] SEREDNICK, Persio Farah. “Projeto de um Conversor CC/ CA com Saída de Tensão
Senoidal Microcontrolado”. Curitiba, 2007.
[37] René P. T. Bascopé. “Notas de aula da disciplina de Modelagem e Controle de
Conversores Estáticos”. Departamento de Engenharia Elétrica da Universidade Federal do
Ceará.
144
APÊNDICE
1. Introdução
Neste apêndice são apresentadas as rotinas que geram a senóide de referência e as
rotinas que fazem o cálculo do PID, tanto na simulação desenvolvida no PSIM, bem como o
algoritmo que é executado no processador escolhido.
As expressões desenvolvidas nas seções 3.10.3 e 3.11.2 para os compensadores das
malhas de tensão e de corrente, utilizando a diferença com um passo atrás (expressão
(2.58)), podem ser transformadas em um algoritmo computacional, uma vez que é possível
armazenar os coeficientes dos erros e das saídas do compensador. A expressão (A.1.1) exibe
o compensador utilizado.
VCTRL ( k )
c
Kd
c
.e(k 2) 1 .e(k 1) 0 .e(k ) VCTRL (k 1)
Ts
Ts
Ts
(A.1.1)
2. Rotina de Geração da Senóide de Referência
A rotina a seguir realiza a geração da senóide de referência para o inversor. Esta rotina
foi compilada no ambiente Visual Studio 2005 como uma DLL (Dynamic Linked Library) para
ser chamada dentro do ambiente do PSIM. Nessa mesma rotina são realizadas a
sincronização da senóide de referência coma rede e a rotina de soft-start. O código possui a
seguinte descrição:
#include <math.h>
#include <stdio.h>
#include <stdlib.h>
//*********************************************************************************
//Definição da Tabela senoidal de Referência: Esta contém 32 pontos que se tornarão
em 128pontos.
//*********************************************************************************
#define SINE_TABLE_SIZE 32*4 //Tabela senoidal de 128 pontos. Implica que cada
ponto da tabela tomará 128*60Hz = 7680Hz que corresponde a 130,2083us.
#define DEF_INV_STARTUP 20
// Inversor em processo de partida suave =>Sincronizado
#define DEF_INV_OK
// Inversor com a saída OK, sem carga
50
//*********************************************************************************
//
A struct abaixo se refere aos parâmetros do inversor
//*********************************************************************************
struct inverterParams {
145
unsigned char uc_INVMODE; // Variável de estado que define aonde o inversor
está
unsigned char uc_INVQUADR; // Quadrante da senoide gerada
<= Devem ser
globais para a sincronização!!
unsigned char uc_INVPOS;
// Posição da tabela senoidal
<= Devem ser
globais para a sincronização!!
unsigned char uc_SYNCSTATUS; // Definição de sincronização
unsigned int ui_voltage_DCBUS;
// Valor de tensão do barramento CC.
signed int
si_voltage_ADC;
// Variável de leitura da tensão obtida do ADC
signed int
si_voltage_INVREF;
// Variável que define a referência do inversor
signed int
si_voltage_DC_OFFSET; //OFFSET DC que deve ser removido da medição
signed int
si_voltage_DC_AVG;
signed int
si_current_ADC;
// Valor DC que deve ser removido
//Variável de leitura da corrente obtida do ADC
signed int
si_current_INVREF; // Variável que define a referência do inversor
signed int
si_current_DC_OFFSET; //OFFSET DC que deve ser removido da medição
signed int
si_current_DC_AVG;
// Valor DC que deve ser removido
};
//*********************************************************************************
//
INÍCIO DA FUNÇÃO PRINCIPAL DO PROGRAMA
//*********************************************************************************
// VARIÁVEIS GLOBAIS REFERENTES AO INVERSOR
struct inverterParams gs_inverterPARAM = {
50,
// unsigned char uc_INVMODE; // Variável de estado que define aonde o
inversor está. Quando é maior que 0, o inversor está funcionando.
0,
// unsigned char uc_INVQUADR; Quadrante da senoide gerada <= Devem ser
globais para a sincronização!!
0,
// unsigned char uc_INVPOS; Posição da tabela senoidal <= Devem ser globais
para a sincronização!!
// A variável INVPOS é apenas um contador de 0 a 127.
0,
// unsigned char uc_SYNCSTATUS; Definição de sincronização
0,
// unsigned int ui_gui_voltage_DCBUS; Valor de tensão do barramento CC.
0,
// signed int
si_voltage_INVREF; Variável que define a referência do
inversor
0,
// signed int
si_voltage_DC_OFFSET; OFFSET DC que deve ser removido da
0,
// signed int
si_voltage_DC_AVG; Valor DC que deve ser removido
0,
// signed int
si_current_INVREF; Variável que define a referência do
medição
inversor
0,
// signed int
si_current_DC_OFFSET;OFFSET DC que deve ser removido da
medição
0, // signed int
};
si_current_DC_AVG; Valor DC que deve ser removido
146
static unsigned char suc_TBLPOS = 0; //Guarda a posição na tabela senoidal de 32
elementos.
signed int
si_TEMPINT = 0;
// Tabela para 115Vrms => 162Vpk
static int si_SineTable[SINE_TABLE_SIZE/4] =
{0, 1474, 2944, 4407, 5860,
7299, 8721, 10123, 11501, 12853,
14174, 15462, 16714, 17927, 19099,
20225, 21305, 22334, 23312, 24235,
25101, 25909, 26656, 27341, 27962,
28518, 29007, 29429, 29781, 30065,
30278, 30420};
__declspec(dllexport) void simuser (t, delt, in, out)
// Note that all the variables must be defined as "double"
double t, delt;
double *in, *out;
//*********************************************************************************
//
Definições de variáveis globais do programa
//*********************************************************************************
{
//*********************************************************************************
//
Rotina de Sincronização da Senóide de Saída do Inversor
//*********************************************************************************
static int bandeira = 0;
static int flag_borda = 0;
static int polaridade_senoide;
if(in[1] == 5)
in[1] = 1;
else
in[1] = 0;
flag_borda = 0;
//Flag indicadora que houve mudança de polaridade da senóide de entrada
if(bandeira ==0)
//A flag "bandeira" é utilizada apenas para que inicialmente a variável
{bandeira = 1;
//"polaridade_senoide" receba o valor anterior da polaridade da senóide.
//polaridade_senoide = !PORTBbits.RB3;
polaridade_senoide = !in[1];
}
if (gs_inverterPARAM.uc_INVMODE > 0)
// Se o inversor está no modo funcional...
147
{
//if(polaridade_senoide == 0 && polaridade_senoide == PORTBbits.RB3)
//Se houve uma borda de descida...
if(polaridade_senoide == 0 && polaridade_senoide == in[1])
{ //dispara_timer_26us = 1;
//Dispara flag de tempo de 26us.
//if(s_TimerSoftware.timer_26us == 1 && polaridade_senoide == 0 &&
polaridade_senoide == PORTBbits.RB3) //Se passaram 26us...
if(polaridade_senoide == 0 && polaridade_senoide == in[1])
{ flag_borda = 1;
//Seta flag indicadora de mudança de polaridade
//polaridade_senoide = !PORTBbits.RB3;
//Polaridade_senoide recebe o valor anterior da polaridade
polaridade_senoide = !in[1];
//dispara_timer_26us = 0;
//Zera a flag que dispara o timer de 26us.
//s_TimerSoftware.timer_26us = 0;
//Zera a flag que indica que os 26us já passaram.
if(gs_inverterPARAM.uc_INVPOS < 65)
//Testa se a senóide está atrasada
gs_inverterPARAM.uc_INVPOS+=1;
//Se sim, soma +1.
if(gs_inverterPARAM.uc_INVPOS > 65)
//Testa se a senóide está adiantada
gs_inverterPARAM.uc_INVPOS-=2;
//Se sim, decrementa de dois
}
}
//if(polaridade_senoide == 1 && polaridade_senoide == PORTBbits.RB3)
//Se houve uma borda de subida...
if(polaridade_senoide == 1 && polaridade_senoide == in[1])
{ //dispara_timer_26us = 1;
//Dispara flag de tempo de 26us.
//if(s_TimerSoftware.timer_26us == 1 && polaridade_senoide == 1 &&
polaridade_senoide == PORTBbits.RB3)
//Se passaram 26us...
if(polaridade_senoide == 1 && polaridade_senoide == in[1])
{ flag_borda = 1;
//Seta flag indicadora de mudança de polaridade
//polaridade_senoide = !PORTBbits.RB3;
//Polaridade_senoide recebe o valor anterior da polaridade
polaridade_senoide = !in[1];
//dispara_timer_26us = 0;
//Zera a flag que dispara o timer de 26us.
//s_TimerSoftware.timer_26us = 0;
//Zera a flag que indica que os 26us já passaram.
148
if(gs_inverterPARAM.uc_INVPOS > 1 && gs_inverterPARAM.uc_INVPOS <= 65)
//Testa se a senóide está adiantada
gs_inverterPARAM.uc_INVPOS -= 2;
//Se sim, decrementa de dois
if((gs_inverterPARAM.uc_INVPOS == 0) || (gs_inverterPARAM.uc_INVPOS > 65 &&
gs_inverterPARAM.uc_INVPOS <= 126))
//Testa se a senóide está atrasada
gs_inverterPARAM.uc_INVPOS += 1;
//Se sim, soma +1.
if(gs_inverterPARAM.uc_INVPOS == 127)
gs_inverterPARAM.uc_INVPOS = 0;
}
}
//if( s_TimerSoftware.timer_26us == 1)
//Esse teste zera a flag indicadora de 26us caso houve um ruído.
//s_TimerSoftware.timer_26us = 0;
}
//*********************************************************************************
// Se o inversor está funcionando corretamente...
if (gs_inverterPARAM.uc_INVMODE > 0)
{
if (++gs_inverterPARAM.uc_INVPOS>=SINE_TABLE_SIZE)
// Se posição do inversor é >= 128...
gs_inverterPARAM.uc_INVPOS = 0;
// Zera a posição do inversor
// Determina o sinal e o quadrante em que deve-se buscar um ponto da tabela da
senoide.
// Se a posição está de 0 a 31...
if ((gs_inverterPARAM.uc_INVPOS >= 0) && (gs_inverterPARAM.uc_INVPOS <
(SINE_TABLE_SIZE/4)))
// 0... 31
{suc_TBLPOS = gs_inverterPARAM.uc_INVPOS;
// Em uma tabela de 32 elementos, de 0 ... 31 => Primeiro quadrante
gs_inverterPARAM.uc_INVQUADR = 0;
// Senóide está no 1º quadrante.
}
if ((gs_inverterPARAM.uc_INVPOS >= (SINE_TABLE_SIZE/4)) &&
(gs_inverterPARAM.uc_INVPOS < (SINE_TABLE_SIZE/2)))
// 32 .. 63
{suc_TBLPOS = ((SINE_TABLE_SIZE/2) - 1)-gs_inverterPARAM.uc_INVPOS;
// Em uma tabela de 32 elementos, de 31 ... 0 => Segundo quadrante
gs_inverterPARAM.uc_INVQUADR = 1;
}
if ((gs_inverterPARAM.uc_INVPOS >= (SINE_TABLE_SIZE/2)) &&
(gs_inverterPARAM.uc_INVPOS < (3*SINE_TABLE_SIZE/4)))
{suc_TBLPOS = gs_inverterPARAM.uc_INVPOS - (SINE_TABLE_SIZE/2);
// Em uma tabela de 32 elementos, de 0 ... 31 => Primeiro quadrante
gs_inverterPARAM.uc_INVQUADR = 2;
}
149
if ((gs_inverterPARAM.uc_INVPOS >= (3*SINE_TABLE_SIZE/4)) &&
(gs_inverterPARAM.uc_INVPOS < (SINE_TABLE_SIZE)))
// 32 .. 63
{suc_TBLPOS = ((SINE_TABLE_SIZE) - 1)-gs_inverterPARAM.uc_INVPOS;
// Em uma tabela de 32 elementos, de 31 ... 0 => Segundo quadrante
gs_inverterPARAM.uc_INVQUADR = 3;
}
// Baseado do quadrante tem-se então o sinal de referência da senoide
if (gs_inverterPARAM.uc_INVQUADR > 1)
{
si_TEMPINT = -si_SineTable[suc_TBLPOS];
} else
{
si_TEMPINT = si_SineTable[suc_TBLPOS];
}
// si_TEMPINT contém o valor temporário da senoide de referência, com sinal.
// Será utilizado posteriormente para a partida suave da carga ou no modo de
execução normal.
} else
{
gs_inverterPARAM.uc_INVPOS = 0;
//
PIDInit(&invCurrentPID);
//
PIDInit(&invVoltagePID);
gs_inverterPARAM.si_current_INVREF = 0;
}
if (gs_inverterPARAM.uc_INVMODE == DEF_INV_STARTUP)
//Tem que olhar onde aparece essa variável
{
// Deve-se proceder o start-up da variável de referência
}
if (gs_inverterPARAM.uc_INVMODE == DEF_INV_OK)
//Tem que olhar onde aparece essa variável.
{
// Deve-se manter o inversor funcionando e sincronizado
gs_inverterPARAM.si_voltage_INVREF = (si_TEMPINT + 0000);
}
out[0] = (gs_inverterPARAM.si_voltage_INVREF/2 + 19200);
out[1] = (38400 - out[0]);
}
150
3. Rotinas de PID desenvolvidas para o PSIM
A rotina a seguir realiza a função do PID descrito pela expressão (A.1.1). Esta rotina
também foi compilada no ambiente Visual Studio 2005 como uma segunda DLL para ser
chamada dentro do PSIM.
A função PID(), possui como entrada um ponteiro para uma estrutura. Esta por sua vez
contém todas as informações (constantes, erros e saída anterior do compensador) para
serem processadas pelo algoritmo. O código possui a seguinte descrição:
//
Input: in[0]=Vin; in[1]=iL; in[2]=Vo
//
Output: Vm=out[0]; iref=out[1]
// You may change the variable names (say from "t" to "Time").
// But DO NOT change the function name, number of variables, variable type, and
sequence.
// Variables:
//
//
//
//
t: Time, passed from PSIM by value
delt: Time step, passed from PSIM by value
in: input array, passed from PSIM by reference
out: output array, sent back to PSIM (Note: the values of out[*] can be
modified in PSIM)
// The maximum length of the input and output array "in" and "out" is 20.
// Warning: Global variables above the function simuser (t,delt,in,out) are not
allowed!!!
#include <math.h>
#include "dsp_pid.h"
#include "def_estados.h"
/*********************************************************************************/
/******************************
DEFINES SECTION
**************************/
/*********************************************************************************/
#define NominalFrequency
60
// Select either 50Hz or 60Hz
#define SamplingFrequency
40000 // Select the samp ling frequency for ADC
#define DEF_SOFTINV 200
#define DEF_K_INV
1
#define DEF_START_K_INV 8
#define DEF_MODULATION_TYPE
16 // Selects the modulation kind
#define DEF_vINV_GAIN
0
#define DEF_iINV_GAIN
0
/*********************************************************************************/
#define DEF_TIMER_MAX_CURRENT 350
#define DEF_MAX_iINV_PK
// 10+1 * 20uS = 220uS
23500 // 13A pico
151
#define DEF_TIMER_STARTUP
2
#define DEF_MIN_IMPEDANCE
3
// ignore 50 * 20uS = 1000uS of startup
/*********************************************************************************/
/****************************DEFINES REFERENTES AS MALHA**************************/
/*********************************************************************************/
/***** TESTADO NA SIMULACAO ***/
#define PID_INVVOLTAGE_KP 0.3
#define PID_INVVOLTAGE_KI 0.1
#define PID_INVVOLTAGE_KD 0.001
/***** TESTADO NA SIMULACAO ***/
/***** TESTADO NA PLANTA - 15/06/2010 ***
#define PID_INVVOLTAGE_KP 0.3
#define PID_INVVOLTAGE_KI 0.1
#define PID_INVVOLTAGE_KD 0.001
***** TESTADO NA PLANTA - 15/06/2010 ***/
/********EM TESTE***************
#define PID_INVVOLTAGE_KP 0.361
#define PID_INVVOLTAGE_KI 0.6225
#define PID_INVVOLTAGE_KD 0.268
/********EM TESTE***************/
#define PID_INVVOLTAGE_A Q15(PID_INVVOLTAGE_KP + PID_INVVOLTAGE_KI +
PID_INVVOLTAGE_KD)
#define PID_INVVOLTAGE_B Q15(-1 *(PID_INVVOLTAGE_KP + 2 * PID_INVVOLTAGE_KD))
#define PID_INVVOLTAGE_C Q15(PID_INVVOLTAGE_KD)
#define PID_INVVOLTAGE_MIN
0
/* Tensão mínima durante a partida*/
/*****
#define PID_INVCURRENT_KP 0.096
#define PID_INVCURRENT_KI 0.0155
#define PID_INVCURRENT_KD 0.2934
******/
/***** TESTADO NA PLANTA - 15/06/2010 ***/ //Normalmente utilizado.
#define PID_INVCURRENT_KP 0.2
#define PID_INVCURRENT_KI 0.0733
#define PID_INVCURRENT_KD 0
/***** TESTADO NA PLANTA - 15/06/2010 ***/
/***** TESTADO NA SIMULACAO ***
#define PID_INVCURRENT_KP 0.3
#define PID_INVCURRENT_KI 0.0471
#define PID_INVCURRENT_KD 0
***** TESTADO NA SIMULACAO ***/
/**** TESTADO PARA A MALHA DE CORRENTE, EM CURTO-CIRCUITO, SEM MALHA DE TENSÃO
152
#define PID_INVCURRENT_KP 0.2
#define PID_INVCURRENT_KI 0
#define PID_INVCURRENT_KD 0.001
******/
#define PID_INVCURRENT_A Q15(PID_INVCURRENT_KP + PID_INVCURRENT_KI +
PID_INVCURRENT_KD)
#define PID_INVCURRENT_B Q15(-1 *(PID_INVCURRENT_KP + 2 * PID_INVCURRENT_KD))
#define PID_INVCURRENT_C Q15(PID_INVCURRENT_KD)
#define PID_INVCURRENT_MIN
0
/*
Corrente mínima
durante a partida*/
/*********************************************************************************/
/*********************************VARIABLES SECTION*******************************/
/*********************************************************************************/
/*******************CURRENT LOOP PARAMETERS ********************/
volatile static fractional x_iINVCoeffBuff[3] = { 7144 , 20 , 10 };
/* the PI gain values - K0, K1 and Kcorr */
volatile static fractional y_iINVBuff[2];
/* 2-element Delay line */
volatile static fractional z_iINVLimits[2] = {-32768, 32767};
/* Pointer to Uca_min and Uca_max, limits of the output*/
static tPI_w iINV_PI = {x_iINVCoeffBuff, y_iINVBuff, z_iINVLimits, 0, 0, 0 };
/*********************************************************************************/
/*******************VOLTAGE LOOP PARAMETERS ********************/
volatile static fractional x_vINVCoeffBuff[3] = { 13269 , -22381 , 9617 };
/* Pointer to A, B & C coefficients located in X-space */
/* These coefficients are derived from */
/* the PID gain values - Kp, Ki and Kd */
volatile static fractional y_vINVBuff[3];
static tPID vINV_PID = {x_vINVCoeffBuff, y_vINVBuff, 0, 0, 0 };
/*********************************************************************************/
static char sc_SoftStart = 0;
static unsigned char K_oper = DEF_START_K_INV;
static unsigned int sc_SoftCount = DEF_SOFTINV;
static short int si_Vinv = 0, si_Vref = 0, si_iTC = 0, si_VBUS = 0;
static short int si_Err = 0;
static unsigned char suc_POL = 0;
/**********************************************************************************
VARIÁVEIS INTERNAS DO DSPIC
**********************************************************************************/
static short int si_vINV = 0;
static short int si_iINV = 0;
static unsigned char guc_INVMODE = DEF_INV_OK;
153
static short int gsi_voltage_INVREF = 0;
// Variável que define a referência do
inversor
static short int gsi_voltage_DC_OFFSET = 0; // OFFSET DC que deve ser removido da
medição
static short int gsi_voltage_DC_AVG = 0;
// Valor DC que deve ser removido
static short int gsi_current_INVREF = 0;
// Variável que define a referência do
inversor
static short int gsi_current_DC_OFFSET = 0; // OFFSET DC que deve ser removido da
medição
static short int gsi_current_DC_AVG = 0;
// Valor DC que deve ser removido
/*******************VOLTAGE LOOP PARAMETERS ********************/
volatile static fractional invVoltageABC[3] = { PID_INVVOLTAGE_A , PID_INVVOLTAGE_B
, PID_INVVOLTAGE_C };
/* Pointer to A, B & C coefficients located in X-space */
/* These coefficients are derived from */
/* the PID gain values - Kp, Ki and Kd */
volatile static fractional invVoltageHistory[3];
static tPID invVoltagePID = {invVoltageABC, invVoltageHistory, 0, 0, 0 };
/*********************************************************************************/
/*******************CURRENT LOOP PARAMETERS ********************/
volatile static fractional invCurrentABC[3] = { PID_INVCURRENT_A , PID_INVCURRENT_B
, PID_INVCURRENT_C };
/* Pointer to A, B & C coefficients located in X-space */
/* These coefficients are derived from */
/* the PID gain values - Kp, Ki and Kd */
volatile static fractional invCurrentHistory[3];
static tPID invCurrentPID = {invCurrentABC, invCurrentHistory, 0, 0, 0 };
/*********************************************************************************/
static short int si_TEMP = 0;
static short int sui_TIMER_CURRENT = 0;
static unsigned char suc_ACT_CURRENT_LIMIT_MODE = 0;
static unsigned int sui_TIMER_STARTUP = 0;
static short int si_IMPEDANCE = 0;
static int flag = 0;
static int contador = 0;
static int i = 0;
static int bandeira = 0;
/*********************************************************************************/
__declspec(dllexport) void simuser (t, delt, in, out)
// Note that all the variables must be defined as "double"
double t, delt;
double *in, *out;
{
// Place your code here............begin
/*****************************************************************
Rotina de execução do algoritmo de controle do INVERSOR
Utilizando malha de PID para tal.
in[0] => VInv ([0;+1023], c/ offset => 511 no ADC => [-250V;+250V])
154
in[1] => VRef ([0;+1023], c/ offset => 511 no ADC => [-155V; +155V])
in[2] => iTC ([0;+1023] no ADC => [-20Apk; +20Apk])
in[3] => VBus ([0;+1023] no ADC => [0V; 250V])
in[4] => FTL_DET ([0; 1] digital)
*****************************************************************
out[0] => Valor que vair para o modulador digital
[0;38400] => [0;1] no PWM
out[1] => [0; 4] => Seleciona o modulador
0 => Desliga os interruptores
1 => Modulador do tipo bipolar, c/ portadora dente-de-serra
2 => Modulador to tipo unipolar, c/ portadora dente-de-serra e
um braço em HighF e outro braço em LowF.
4 => Modulador do tipo bipolar, c/ portadora triangular
out[2] => Seleciona a polaridade do braço de baixa frequencia
(1 => Chave L conduz, 0 => Chave H conduz)
*****************************************************************/
/*****************************************************************
Faz o shift dos valores lidos no ADC para converte-los em
notação de ponto fixo
*****************************************************************/
si_Vinv = (signed short int) (32768 + (((unsigned short int)in[0]) << 6));
// Multiplica por 2^6 = 64 e inverte o bit superior (b15).l
si_Vref = (signed short int) (32768 + (((unsigned short int)in[1]) << 6));
// Multiplica por 2^6 = 64 e inverte o bit superior (b15).l
si_iTC = (signed short int) (32768 + (((unsigned short int)in[2]) << 6));
// Multiplica por 2^6 = 64 e inverte o bit superior (b15).l
si_VBUS = (signed short int) (((unsigned short int)in[3]) << 6) >> 1;
// Multiplica por 2^6 = 64, e reduz o número do barramento para ficar na faixa
de 0 < 32767
gsi_voltage_INVREF = si_Vref;
si_vINV = si_Vinv;
si_iINV = si_iTC;
// Aplica o algoritmo do PID para a malha de tensão.
invVoltagePID.controlReference = gsi_voltage_INVREF;
invVoltagePID.measuredOutput = si_vINV - gsi_voltage_DC_OFFSET;
if (guc_INVMODE == DEF_INV_OK)
PID(&invVoltagePID);
else
{
invVoltagePID.controlOutput = 0;
invVoltagePID.measuredOutput = 0;
}
//
invCurrentPID.controlReference = gsi_voltage_INVREF >> 3;
155
// Aplica o algoritmo de limitação da corrente
/**********************************************/
if (sui_TIMER_STARTUP++ > DEF_TIMER_STARTUP)
sui_TIMER_STARTUP = DEF_TIMER_STARTUP;
//if ((abs(invVoltagePID.controlOutput) > DEF_MAX_iINV_PK) && (sui_TIMER_STARTUP
>= DEF_TIMER_STARTUP))
if (((abs(si_iINV) > 23500) && (sui_TIMER_STARTUP >= DEF_TIMER_STARTUP)) ||
(flag == 1))
{
flag = 1;
bandeira = 1;
//if (invVoltagePID.controlOutput > 0)
//if (si_iINV > 23500)
//invCurrentPID.controlReference = DEF_MAX_iINV_PK;
// Operar com
malha de tensão e de corrente cascateadas - limite máximo de corrente
invCurrentPID.controlReference = 23500;
invCurrentPID.measuredOutput = si_iINV; //- gsi_current_DC_OFFSET;
/*if (si_iINV < -23500)
//invCurrentPID.controlReference = DEF_MAX_iINV_PK;
// Operar com malha de tensão e de corrente cascateadas - limite máximo de
corrente
invCurrentPID.controlReference = -23500;
invCurrentPID.measuredOutput = si_iINV; *///- gsi_current_DC_OFFSET;*/
/*else
//invCurrentPID.controlReference = -DEF_MAX_iINV_PK;
{invCurrentPID.controlReference = 19000;
invCurrentPID.measuredOutput = si_iINV - gsi_current_DC_OFFSET;
}*/
if (sui_TIMER_CURRENT++ >= DEF_TIMER_MAX_CURRENT)
{ // if(abs(si_iINV) > 23500)
//{
suc_ACT_CURRENT_LIMIT_MODE = 1;
invCurrentPID.controlOutput = 0;
invCurrentPID.measuredOutput = 0;
invCurrentHistory[0] = 0;
invCurrentHistory[1] = 0;
invCurrentHistory[2] = 0;
sui_TIMER_CURRENT = 0;
/*
}
else
{
flag = 0;
sui_TIMER_CURRENT = 0;
}*/
}
156
/*
else
{
invCurrentPID.controlReference = invVoltagePID.controlOutput;
// Operar com malha de tensão e de corrente cascateadas - operação normaL
sui_TIMER_CURRENT = 0;
}*/
if (suc_ACT_CURRENT_LIMIT_MODE)
{
guc_INVMODE
= DEF_INV_STOP;
suc_ACT_CURRENT_LIMIT_MODE = 0;
}
//
invCurrentPID.measuredOutput = -si_iINV + gsi_current_DC_OFFSET;
if (guc_INVMODE == DEF_INV_OK)
PID(&invCurrentPID);
else
{
invCurrentPID.controlOutput = 0;
invCurrentPID.measuredOutput = 0;
// Obtem o offset CC da leitura...
gsi_voltage_DC_OFFSET = si_vINV;
gsi_current_DC_OFFSET = si_iINV;
}
}
else
{
bandeira = 0;
}
/**********************************************/
//PDC1 = invCurrentPID.controlOutput + (PTPER/2);
//PDC2 = PTPER - PDC1;
//out[0] =
( (gsi_voltage_INVREF >> 1) + 19200);
/****************** OPERAÇÃO COM MALHA DE TENSÃO *****/
if (bandeira == 0)
{
si_TEMP = invVoltagePID.controlOutput;
out[0] = (double)((si_TEMP >> DEF_vINV_GAIN) + 19200);
out[1] = (double)(38400-out[0]);
// Seleciona o tipo do Modulador
out[2] = (double)(suc_POL);
// Seleciona a polaridade do braço de
baixa frequencia
out[3] = (double)(invVoltagePID.controlOutput);
out[4] = (double)(invVoltagePID.controlReference);
out[5] = (double)(invVoltagePID.measuredOutput);
}
/****************** OPERAÇÃO COM MALHA DE TENSÃO *****/
157
/****************** OPERAÇÃO COM MALHA DE CORRENTE *****/
if(bandeira == 1)
{
out[0] = (double) ((invCurrentPID.controlOutput) + 19200);
out[1] = (double) (38400-out[0]);
out[2] = (double)(si_iINV);
out[3] = (double)(invCurrentPID.controlOutput);
out[4] = (double)(invCurrentPID.controlReference);
out[5] = (double)(invCurrentPID.measuredOutput);
}
/****************** OPERAÇÃO COM MALHA DE CORRENTE + TENSÃO *****/
// Place your code here............end
}
fractional* PID ( tPID* controller /* Pointer to PID controller data structure */)
{
/* PID Controller Function */
// O sistema deve se comportar de maneira semelhante ao dsPIC, logo deve-se
trabalhar com o dimensionamento
// coerente (ou pelo menos próximo) dos registradores utilizados
__int64 ACCA = 0;
// ACCumulador (no dsPIC ele tem 40bits, aqui ele terá
apenas 32).
__int64 ACCB = 0;
// ACCumulador B
__int32 ACCtemp = 0;
// O algoritmo a ser executado é bem simples.
// U[k] = U[k-1] + k1*E[k] + k2*E[k-1] + k3*E[k-2]
// Onde U[k] é a saída do controlador e E[k] é o Erro.
ACCA = (controller->controlReference << 16);
// Ajusta para que ele opere com
toda a precisão Q0 => Q15
ACCB = (controller->measuredOutput << 16);
// Ajusta para que ele opere com
toda a precisão Q0 => Q15
ACCA = ACCA - ACCB;
/********************************************
; Calculate most recent error with saturation, no limit checking required
lac
w3, a
; A = tPID.controlReference
lac
w2, b
; B = tPID.MeasuredOutput
sub
a
; A = tPID.controlReference -
tPID.measuredOutput
sac.r
a, [w10]
; tPID.ControlHistory[n] = Sat(Rnd(A))
********************************************/
ACCtemp = ACCA >> 16;
if (ACCA > 0)
// Equivalente ao SAC
158
{
if (ACCtemp > 32767)
ACCtemp = 32767;
else
ACCtemp = (short int)(ACCtemp);
} else
{
if (ACCtemp < -32768)
ACCtemp = -32768;
else
ACCtemp = (short int)(ACCtemp);
}
// Aqui deve-se armazenar o valor do acumulador em alguma variável.
controller->controlHistory[0] = ACCtemp;
// Retorna para q15
/**********************************************
lac
w1, a
; A = ControlOutput[n-1]
mac
w4*w5, a, [w8]+=2, w4, [w10]+=2, w5
; A += (Kp+Ki+Kd) *
_ControlHistory[n]
; w4 = -(Kp+2Kd), w5 =
_ControlHistory[n-1]
mac
w4*w5, a, [w8], w4, [w10]-=2, w5
; A += -(Kp+2Kd) *
_ControlHistory[n-1]
; w4 = Kd, w5 = _ControlHistory[n-2]
mac
w4*w5, a, [w10]+=2, w5
; A += Kd * _ControlHistory[n-2]
; w5 = _ControlHistory[n-1]
; w10 = &_ControlHistory[n-2]
sac.r
a, w1
; ControlOutput[n] = Sat(Rnd(A))
**********************************************/
ACCA = (controller->controlOutput << 16);
// A= U[k-1];
ACCA += ((controller->abcCoefficients[0])*(controller->controlHistory[0]) );
// A+= E[k]*k1 => Q15;
ACCA += ((controller->abcCoefficients[1])*(controller->controlHistory[1]) );
// A+= E[k-1]*k2 => Q15;
ACCA += ((controller->abcCoefficients[2])*(controller->controlHistory[2]) );
// A+= E[k-2]*k3 => Q15;
ACCtemp = ACCA >> 16;
if (ACCA > 0)
// Equivalente ao SAC
{
if (ACCtemp > 32767)
ACCtemp = 32767;
else
159
ACCtemp = (short int)(ACCtemp);
} else
{
if (ACCtemp < -32768)
ACCtemp = -32768;
else
ACCtemp = (short int)(ACCtemp);
}
controller->controlOutput = ACCtemp;
controller->controlHistory[2] = controller->controlHistory[1];
// Atualiza o histórico dos erros.
controller->controlHistory[1] = controller->controlHistory[0];
return 0;
//Retorna com o endereço para a estrutura com os dados do controlador.
}

Documentos relacionados