C EDA-004 Altera Cyclone III F780 USB

Transcrição

C EDA-004 Altera Cyclone III F780 USB
1
V18
V10
V33O
GND
2
3
4
5
6
7
8
V18
V10
V33O
GND
H
H
U1
JP1
V33O
C2
2
1
38 EAIN
39
1 40 EAOUT
COMP
VS2
VS1
VS0
ROCP
P2
Unmounted
D
2
C9
2
1
+
C17
C15
10V 476
10V 476
GND
2
+
10V 476
+
GND
GND
GND
+
C13
C14
10V 476
10V 476
GND
GND
U3B
32
33
34
27 1
V50
GND
V50
P4
2
3
1
4
5
6
7
15
EN5335QI
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
44
43
42
31
30
26
25
16
Unmounted
GND
D4 1SS349
V33O
2
2
104
V33O
000
3
/RESET
5
R4
V25O
1
C24
MAX1A
OUT 4
CM13
V25
1
+
2X104
C23
2
10V 476
4
C22
3 GND
+
1
1
TPS72625DCQ
2 IN
1
ENA
2
C
1
1
2
U4
10V 476
GND
GND
GND
B
3
1
1
3
4
2
4
2
IOA16
IOA17
IOA18
IOA19
IOA20
IOA21
IOA22
IOA23
8
7
6
5
4
3
2
1
IOA24
IOA25
IOA26
IOA27
IOA28
IOA29
IOA30
IOA31
8
7
6
5
4
3
2
1
3
1
3
1
4
2
1
3
2
4
3
1
4
2
1
3
2
4
3
1
4
2
2
4
GND
IOA32
IOA33
IOA34
IOA35
IOA36
IOA37
IOA38
IOA39
8
7
6
5
4
3
2
1
IOA40
IOA41
IOA42
IOA43
IOA44
IOA45
IOA46
IOA47
8
7
6
5
4
3
2
1
IOA48
CLKAP
IOA49
CLKAN
8
7
6
5
3
1
CM21
4
2
V33OV12D
V12D
V25
+C18
FC2
106
104
3
VP1
V12D
NFEMI
FC3
+C19
104
106
GND
1
VCCA4/B5 Y21
Y20
VCCD_PLL4/B5 AA20
GNDA4/B5
V12D
3
NFEMI
FC4
+C21
104
106
2X104
V25
3
VP3
V12D
FC5
NFEMI
104
C20
+
106
CM10
2X104
GND
V12 V12D
1
GND
GND
RM5 8-47R
9
10
11
12
13
14
15
16
GND
GND
9
10
11
12
13
14
15
16
GND
GND
9
10
11
12
13
14
15
16
RM12 8-47R
9
10
11
12
13
14
15
16
GND
GND
RM13
GND
V25
1
2
3
4
DIL66
4-47R
CM11
1
CNB
2
V33EXB
V50
RM2
IOB0
IOB1
IOB2
IOB3
IOB4
IOB5
IOB6
IOB7
8-47R
9
10
11
12
13
14
15
16
8-47R
GND
GND
RM10 8-47R
GND
GND
9
10
11
12
13
14
15
16
IOB24
IOB25
IOB26
IOB27
IOB28
IOB29
IOB30
IOB31
9
10
11
12
13
14
15
16
8
7
6
5
4
3
2
1
8
7
6
5
4
3
2
1
RM11 8-47R
9
10
11
12
13
14
15
16
GND
GND
8
7
6
5
4
3
2
1
RM14 8-47R
IOB40
IOB41
IOB42
IOB43
IOB44
IOB45
IOB46
IOB47
GND
GND
8
7
6
5
4
3
2
1
RM7
IOB16
IOB17
IOB18
IOB19
IOB20
IOB21
IOB22
IOB23
IOB32
IOB33
IOB34
IOB35
IOB36
IOB37
IOB38
IOB39
GND
GND
8
7
6
5
4
3
2
1
RM6
IOB8
IOB9
IOB10
IOB11
IOB12
IOB13
IOB14
IOB15
8-47R
9
10
11
12
13
14
15
16
9
10
11
12
13
14
15
16
8
7
6
5
4
3
2
1
GND
GND
RM15
IOB48
CLKBP
IOB49
CLKBN
8
7
6
5
1
2
3
4
1 3V3
3V3
5V
5 5V
6 GND
GND
7
8
9
10
11
12
13
14
15 GND
16
GND
17
18
19
20
21
22
23
25 24
GND
26 GND
27
28
29
30
31
32
33
35 34
36 GND
GND
37
38
39
40
41
42
43
44
45 GND
46
GND
47
48
49
50
51
52
53
55 54
GND
56 GND
57
58
59
60
61
62
63
64
65
66
G
F
E
DIL66
4-47R
2X104
GND
D
GND
EM5
VCCA2/B6 J21
J20
VCCD_PLL2/B6 H20
GNDA2/B6
CM9
GND
V12DV33O
EM4
VP2
3
1
1
NFEMI
4
3
VP0
EM3
VCCA1/B2 Y8
Y9
VCCD_PLL1/B2 AA9
GNDA1/B2
GND
GND
9
10
11
12
13
14
15
16
RM9 8-47R
EM2
J8
VCCA3/B1 J9
VCCD_PLL3/B1 H9
GNDA3/B1
2
V12D
V12
3
GND
1
2X104
GND
1
NFEMI
1
3
1
V12
2
10V 476
000
1
+
C12
2
GND
29
20
19
18
17
G
GND
+
C11
C10
10V 476
1
2
1
+
AGND
PGND
PGND
PGND
PGND
GND
1
41 ENABLE
37
SS
4
3
EM1
8
7
6
5
4
3
2
1
RM8 8-47R
CM8
4
GND
V12I
8
9
10
11
12
13
14
36
35
1
VOUT
VOUT
VOUT
VOUT
VOUT
VOUT
VOUT
VSENSE
POK
2
2
PVIN
PVIN
PVIN
PVIN
AVIN
2
C4
226
EN5335QI
1
1
21
22
23
24
28
+
2
V50
RM3
2
U3A
CM6
2X104
2
E
2X104
V12
3
GND
CM7
GND
V12
GND
1
EN5335QI
EN5335QI
Unmounted
IOA8
IOA9
IOA10
IOA11
IOA12
IOA13
IOA14
IOA15
9
10
11
12
13
14
15
16
JP2
V33O
4
GND
CM5
2X104
2
44
43
42
31
30
26
25
16
1
V25
V12DV33O
3
NC
NC
NC
NC
NC
NC
NC
NC
8
7
6
5
4
3
2
1
GND
V12
GND
V12
1
NC
NC
NC
NC
NC
NC
NC
NC
IOA0
IOA1
IOA2
IOA3
IOA4
IOA5
IOA6
IOA7
GND
USBIF
USBIF.sch
CM12
2X104
ADBUS[0..7]
ACBUS[0..7]
XDCLK
XNCONFIG
XDATAO
XCONFDONE
USBRESET
USB-DET
SIWUB
4
2
3
1
4
5
6
7
15
32
GND
33
34
27 1 P3
102
2
R3
1
29
20
19
18
17
G
GND
U2B
CM4
2X104
2X104
2
GND
CM2
GND
V12
2
GND
[PW]
GND
V12
2X104
1
RED
AGND
PGND
PGND
PGND
PGND
GND
1
2
GND
L1
GND
GND
RM4 8-47R
2
41 ENABLE
37 SS
C8
C7
476
CM3
2X104
GND
V12
2
Unmounted
V33O
+
C6
V12
CM1
2X104
1
VS2
VS1
VS0
ROCP
P1
C1
+
476
V12
2
2
1
38 EAIN
39
1 40 EAOUT
COMP
+
C5
476
1 3V3
3V3
5V
5 5V
6 GND
GND
7
8
9
10
11
12
13
14
15 GND
16
GND
17
18
19
20
21
22
23
25 24
GND
26 GND
27
28
29
30
31
32
33
35 34
36 GND
GND
37
38
39
40
41
42
43
44
45 GND
46
GND
47
48
49
50
51
52
53
55 54
GND
56 GND
57
58
59
60
61
62
63
64
65
66
V33EXA
V50
2
GND
F
1
VOUT
VOUT
VOUT
VOUT
VOUT
VOUT
VOUT
VSENSE
POK
CNA
2
RM1 8-47R
1
2
PVIN
PVIN
PVIN
PVIN
AVIN
K9
K11
K17
K19
L10
L12
L14
L16
L18
M11
M13
M15
M17
M19
N10
N12
N14
N16
N18
N20
P9
P11
P13
P15
P17
P19
R10
R12
R14
R16
R18
R20
T11
T13
T15
T17
T19
U10
U12
U14
U16
U18
V11
V13
V15
V17
V19
W12
W14
W18
2
C3
8
9
10
11
12
13
14
36
35
2
+
226
V33O
U2A
1
1
21
22
23
24
28
2
V50
1
GND
12
GND
2
GND
1
1
GND
2
1
TPG2
1
C16
16V 220uF
TPG1
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
2
2
1
D1
1SS349
+
2
G
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
1
Unmounted
V50
AA2
AA27
AC13
AC16
AC20
AC23
AC6
AC9
AF1
AF28
AG13
AG16
AG2
AG20
AG24
AG27
AG5
AG9
B13
B16
B2
B20
B24
B27
B5
B9
C1
C28
F13
F16
F20
F23
F6
F9
H2
H27
J11
J18
K10
K12
K14
K16
K18
K20
K23
K6
L11
L13
L15
L17
L19
L9
M10
M12
M14
M16
M18
M20
N11
N13
N15
N17
N19
N2
N23
N27
N6
N9
P10
P12
P14
P16
P18
P20
R11
R13
R15
R17
R19
R9
T10
T12
T14
T16
T18
T2
T20
T23
T27
T6
U11
U13
U15
U17
U19
U9
V10
V12
V14
V16
V18
W11
W13
W15
W17
W19
W23
W6
Y11
Y18
2
1
GND
V50
1
FPGAA
R2 47R
1
OSC
CLKB
2
JR1
GND
1
1
2
104
2
1
FC1
1
R1 47R
Vdd 4
V33O
3
OUTPUT
CX104BB 50MHz
1
2 GND
1
INH
GND
2
V33O
CLKA
GND
ADBUS[0..7]
ACBUS[0..7]
CCLK
XNCONFIG
DIN
DONE
USBRESET
USB-DET
SIWUB
FPGA1
FPGA1.sch
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
VCCINT
GND
GND
K13
K15
L20
M9
T9
U20
V9
W10
W16
W20
W9
V20
IOA[0..49]
IOB[0..49]
CLKA
CLKB
XDCLK
XDATAO
XCONFDONE
USBRESET
ACBUS[0..7]
ADBUS[0..7]
XNCONFIG
USB-DET
SIWUB
V12
These pins are connected to VCCINT.
You need to set them as INPUT.
GND
C
IOA[0..49]
IOB[0..49]
CLKA
CLKB
CCLK
DIN
DONE
USBRESET
ACBUS[0..7]
ADBUS[0..7]
XNCONFIG
USB-DET
SIWUB
FPGA2
FPGA2.sch
These pins are connected to GND.
You need to set them as INPUT.
CLKAP
CLKAN
CLKBP
CLKBN
IOA[0..49]
IOB[0..49]
XDCLK
EP3C____780FBGA
CLKAP
CLKAN
CLKBP
CLKBN
IOA[0..49]
IOB[0..49]
XDCLK
B
EDA004R2-SCH-C.pdf
Altera Cyclone III F780 USB-FPGA board Rev.2
HuMANDATA LTD.
OSAKA JAPAN
www.hdl.co.jp/en/(Global)
www.hdl.co.jp/(Japan)
A
Date:
1
2
3
4
10-May-2011
5
A
DOC. No.
File:
13:34:53
6
EDA-004
C
Sheet
EDA004B.sch
7
of
1
8
4
1
V18
V10
V25
V33O
GND
2
3
4
5
6
7
8
V18
V10
V25
V33O
GND
NET LABEL
H
ADBUS0
ADBUS1
ADBUS2
ADBUS3
ADBUS4
ADBUS5
ADBUS6
ADBUS7
ACBUS0
ACBUS1
ACBUS2
ACBUS3
ACBUS4
ACBUS5
ACBUS6
ACBUS7
BDBUS0
BDBUS1
BDBUS2
BDBUS3
BDBUS4
BDBUS5
BDBUS6
BDBUS7
BCBUS0
BCBUS1
BCBUS2
BCBUS3
BCBUS4
BCBUS5
BCBUS6
BCBUS7
G
EM6
104
GND
GND
U9
D-IN
D+IN
GND
1 IO1
2 GND
3
IO2
IO1 6
V 54
IO2
GND
V33O
1
GND
FC19
104
R8
2
1
1
GND
GND
D+OUT
GND 1202 1%
R7
152
2
2
SK3
CVL
2
5
SK2
CVL
1
1
CN-USB
1
GND
USBRESET
C29
1
2
14 RESET#
2 OSCI
1
2
27P
C
2
Y1
12MHz
C30
1
3 OSCO
2
GND
27P
8
7
6
5
V33O
1
2
3
4
RM24
4-472
63 EECS
62
61 EECLK
EEDATA
2
JP5
104
1
1
VN4
FSDI
FSCLK
FSDO
FSCTS
SIW UB
PWRSAV#
Host Bus
Emulation
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
A8
A9
A10
A11
A12
A13
A14
A15
CS#
ALE
RD#
WR#
IORDY
CLKOUT
I/O0
I/O1
PW RSAV#
G
F
E
9
10
11
12
13
14
15
16
RM23
8-472
ADBUS0
ADBUS1
ADBUS2
ADBUS3
ADBUS4
ADBUS5
ADBUS6
ADBUS7
16
17
18
19
21
22
23
24
1
2
3
4
5
6
7
8
16
15
14
13
12
11
10
9
ADBUS0
ADBUS1
ADBUS2
ADBUS3
ADBUS4
ADBUS5
ADBUS6
ADBUS7
ACBUS0
ACBUS1
ACBUS2
ACBUS3
ACBUS4
ACBUS5
ACBUS6
ACBUS7
26
27
28
29
30
32
33
34
1
2
3
4
5
6
7
8
16
15
14
13
12
11
10
9
ACBUS0
ACBUS1
ACBUS2
ACBUS3
ACBUS4
ACBUS5
ACBUS6
ACBUS7
BDBUS0
BDBUS1
BDBUS2
BDBUS3
BDBUS4
BDBUS5
BDBUS6
BDBUS7
38
39
40
41
43
44
45
46
BCBUS0
BCBUS1
BCBUS2
BCBUS3
BCBUS4
BCBUS5
BCBUS6
BCBUS7
48
52
53
54
55
57
58
59
D
DA1 RB425DT146
RM22 8-47R
5
6
7
8
4
3
2
1
XDCLK
3
2
1
XNCONFIG
C
XDATAO
XCONFDONE
RM25 4-101
Unmounted
R22
1
2
SIWUB
13
TEST
ADBUS[0..7]
ADBUS[0..7]
ACBUS[0..7]
ACBUS[0..7]
XDCLK
XNCONFIG
XDATAO
XCONFDONE
USBRESET
USB-DET
CCLK
XNCONFIG
DIN
DONE
USBRESET
USB-DET
SIWUB
SIWUB
USB-DET
POWEN
1
1
TP1
TP2
GND
FT2232H
B
GND
EDA004R2-SCH-C.pdf
Altera Cyclone III F780 USB-FPGA board Rev.2
HuMANDATA LTD.
OSAKA JAPAN
www.hdl.co.jp/en/(Global)
www.hdl.co.jp/(Japan)
Date:
3
H
HuMANDATA are not responsible for the pin function table above.
For accurate information, please refer to FTDI's official document.
A
2
TCK/SK
TDI/DO
TDO/DI
TMS/CS
GPIOL0
GPIOL1
GPIOL2
GPIOL3
GPIOH0
GPIOH1
GPIOH2
GPIOH3
GPIOH4
GPIOH5
GPIOH6
GPIOH7
TCK/SK
TDI/DO
TDO/DI
TMS/CS
GPIOL0
GPIOL1
GPIOL2
GPIOL3
GPIOH0
GPIOH1
GPIOH2
GPIOH3
GPIOH4
GPIOH5
GPIOH6
GPIOH7
CPU Style
FIFO
D0
D1
D2
D3
D4
D5
D6
D7
CS#
A0
RD#
W R#
SIWUA
D0
D1
D2
D3
D4
D5
D6
D7
CS#
A0
RD#
W R#
SIWUB
PW RSAV#
GND
U8
60
PWREN#
SUSPEND# 36
GND
1
Fast Serial
GND
GND
GND
GND
GND
GND
GND
GND
AT93C46
U10
FC20
8
7
6
5
1
5
11
15
25
35
47
51
V33O
V33O
VCC
DU
ORG
GND
AGND
222
2
1
B
R9
CS
SK
DIN
DOUT
2
1
1
2
3
4
10
1
2
1
2
7 DM
8
DP
6 REF
2
GND
2
1
2
D-OUT
USBULC6-2M6
104
MPSSE
RM21 8-47R
FC13
104
2
1
GND
1
2
3
4
2
2
1
2
VN5
5V
DD+
G
FC12
104
VN3
1
V18
103
104
GND
FC18
Operation Mode
ASYNC
SY NC
Bit-bang
Bit-bang
D0
D0
D1
D1
D2
D2
D3
D3
D4
D4
D5
D5
D6
D6
D7
D7
W RSTB#
W RSTB#
RDSTB#
RDSTB#
SIWUA
SIW UA
D0
D0
D1
D1
D2
D2
D3
D3
D4
D4
D5
D5
D6
D6
D7
D7
W RSTB#
W RSTB#
RDSTB#
RDSTB#
SIWUB
SIW UB
PW RSAV# PWRSAV#
8
7
6
5
4
3
2
1
1 2
50 VREGIN
49 VREGOUT
R6
D0
D1
D2
D3
D4
D5
D6
D7
RXF#
TXE#
RD#
W R#
SIW UA
D0
D1
D2
D3
D4
D5
D6
D7
RXF#
TXE#
RD#
W R#
SIW UB
PWRSAV#
GND
FC17
GND
12
VCORE 37
VCORE 64
VCORE
VCCIO 20
31
VCCIO 42
VCCIO 56
VCCIO
V33O
CN4
9
4
VPHY
472
FC11
104
104
+
C28
226
TXD
RXD
RTS#
CTS#
DTR#
DSR#
DCD#
RI#
TXDEN
RXLED#
TXLED#
TXD
RXD
RTS#
CTS#
DTR#
DSR#
DCD#
RI#
TXDEN
RXLED#
TXLED#
PW RSAV#
245 FIFO
V33O
GND
R5
D
104
GND
GND
VPLL
GND
2
1
2
2
1
GND
FC14
104
2
1
VPHY
+
C31
226
1
2
2
3
FC16
FC15
GND
+
C27
226
2
FC8
104
1
1
VPLL
+
C25
226
GND
USB-DET
L3
LQM21FN100M70/000
GND
3
GND
EM7
V33O NFEMI
1
L2
LQM21FN100M70/000
2
V33O NFEMI
1
E
GND
V33O
1
GND
V33O
+
C26
226
1
1
FC10
2
2
104
2
1
1
2
104
FC9
1
V18
FC7
2
F
245 FIFO
Sync
D0
D1
D2
D3
D4
D5
D6
D7
RXF#
TXE#
RD#
WR#
SIW UA
CLKOUT
OE#
PW RSAV#
RS232
4
10-May-2011
5
A
DOC. No.
File:
13:34:54
6
EDA-004
C
Sheet
USBIF.sch
7
of
2
8
4
1
2
4
5
6
7
8
V33O
GND
V33O
GND
[B6]
[B1]
H
R11 47R
CLKA
G
3
V18
V10
V18
V10
IOA[0..49]
IOB[0..49]
IOA[0..49]
IOB[0..49]
CLKA
CLKB
CLKA
CLKB
ACBUS[0..7]
ADBUS[0..7]
SIWUB
ACBUS[0..7]
ADBUS[0..7]
SIWUB
CCLK
XNCONFIG
DIN
DONE
USBRESET
XDCLK
XNCONFIG
XDATAO
XCONFDONE
USBRESET
2
USB-DET
1
J1 CLK1/DIFFCLK_0n/B1
J2
CLK0/DIFFCLK_0p/B1
C2
D3 IO/DIFFIO_L1n/B1
IO/DIFFIO_L1p/B1
D1 IO/DIFFIO_L2n/B1
D2
F3 IO/DIFFIO_L2p/B1
IO/DIFFIO_L4n/B1
E3 IO/DIFFIO_L4p/B1
F5
G3 IO/DIFFIO_L5p/B1
IO/DIFFIO_L6n/B1
G4 IO/DIFFIO_L6p/B1
H3 IO/DIFFIO_L7n/B1
H4 IO/DIFFIO_L7p/B1
J3
J4 IO/DIFFIO_L10n/B1
IO/DIFFIO_L10p/B1
F1 IO/DIFFIO_L9n/B1
F2
G1 IO/DIFFIO_L9p/B1
IO/DIFFIO_L11n/B1
G2 IO/DIFFIO_L11p/B1
M1
M2 IO/DIFFIO_L23n/B1
IO/DIFFIO_L23p/B1
P1 IO/DIFFIO_L24n/B1
P2 IO/DIFFIO_L24p/B1
K1 IO/DIFFIO_L12n/B1
K2
IO/DIFFIO_L12p/B1
H5 IO/B1
H6
IO/B1
G5 IO/DIFFIO_L3n/B1
E1
L1 IO/DIFFIO_L8n/B1
H7 IO/DIFFIO_L22n/B1
L5 IO/VREFB1N0/B1
IO/VREFB1N1/B1
M5 IO/VREFB1N2/B1
E2 IO/FLASH_nCE, nCSO/DIFFIO_L8p/B1
G6 IO/nRESET/DIFFIO_L3p/B1
N7
F4 IO/DATA0/B1
IO/DATA1, ASDO/DIFFIO_L5n/B1
M6 nSTATUS/B1
P3 DCLK/B1
P4 nCONFIG/B1
P5
P6 TCK/B1
TDO/B1
P7 TDI/B1
P8
R8 TMS/B1
nCE/B1
VCCO1/IO/DIFFIO_L18p/B1/NC K8
B1
K5 VCCIO1
VCCIO1
H1 VCCIO1
N1 VCCIO1
N5 VCCIO1
1
XUSBRESET
MRAM_A18
MRAM_A17
MRAM_A16
MRAM_BE1
MRAM_OE
SIWUB
MRAM_DQU12
MRAM_DQU10
MRAM_DQU11
MRAM_A14
MRAM_A15
MRAM_A11
MRAM_DQU15
MRAM_BE0
MRAM_DQU13
MRAM_DQU14
MRAM_A1
MRAM_A2
MRAM_A3
MRAM_A5
MRAM_DQU8
MRAM_DQU9
USB-DET
R20
101
MRAM_A13
MRAM_A12
MRAM_A4
X_CYC_NCSO
F
XDATAO
X_ASDO
XNSTATUS
XDCLK
XNCONFIG
XTCK
XTDO
XTDI
XTMS
X_CYC_CE
9
10
11
12
13
14
15
16
V33O
E
RM16
8
7
6
5
4
3
2
1
X_ROMnCS
XTDI
XTMS
XDATAO
XTDO
XNCONFIG
XCONFDONE
XNSTATUS
5
6
7
8
XDCLK
CLKB
FPGAB
V33O
R12 47R
ULED2
IOB41
IOB40
IOB42
IOB43
IOB45
IOB44
IOB34
PSW0
IOB32
IOB33
IOB35
IOB37
IOB36
IOB39
IOB38
MSEL0
MSEL1
MSEL2
MSEL3
XCONFDONE
IOB46
IOB47
V33O
EP3C____780FBGA
[B3]
FPGAC
J28 CLK5/DIFFCLK_2n/B6
J27 CLK4/DIFFCLK_2p/B6
K26 IO/DIFFIO_R14n/B6
K25
J26 IO/DIFFIO_R14p/B6
IO/DIFFIO_R16n/B6
J25
K28 IO/DIFFIO_R16p/B6
K27 IO/DIFFIO_R17n/B6
IO/DIFFIO_R17p/B6
L24 IO/DIFFIO_R18n/B6
L23
L27 IO/DIFFIO_R18p/B6
IO/DIFFIO_R19p/B6
L28
M26 IO/DIFFIO_R19n/B6
IO/DIFFIO_R20n/B6
M25 IO/DIFFIO_R20p/B6
M28
M27 IO/DIFFIO_R21n/B6
IO/DIFFIO_R21p/B6
N25 IO/DIFFIO_R22p/B6
N26
IO/DIFFIO_R22n/B6
L25 IO/B6
L26
IO/B6
D26 IO/DIFFIO_R8p/B6
E27 IO/DIFFIO_R12p/B6
H24 IO/DIFFIO_R4n/B6
F26
H26 IO/DIFFIO_R10p/B6
IO/DIFFIO_R11n/B6
J22
M21 IO/VREFB6N0/B6
IO/VREFB6N1/B6
N21 IO/VREFB6N2/B6
C27 IO/PADD20/DIFFIO_R8n/B6
D27
D28 IO/PADD21/DIFFIO_R9p/B6
IO/PADD22/DIFFIO_R9n/B6
E28 IO/PADD23/DIFFIO_R12n/B6
ULED0
ULED1
(****)
[B2]
FPGAG
1
MRAM_CE
MRAM_DQL0
MRAM_DQL1
MRAM_DQL2
ADBUS6
ADBUS5
MRAM_DQL3
ADBUS7
MRAM_DQL7
MRAM_DQL6
MRAM_DQL4
MRAM_DQL5
OPT_CLK_CON1
ADBUS4
MRAM_WE
ACBUS6
ACBUS4
ACBUS7
MRAM_A7
MRAM_A6
ADBUS3
ADBUS2
MRAM_A9
MRAM_A8
MRAM_A10
ACBUS2
F28
F27
G28
G27
N22
P23
M22
P22
P24
P25
P26
P27
P28
IO/nAVD/DIFFIO_R13n/B6
IO/RDY/DIFFIO_R13p/B6
IO/nWE/DIFFIO_R15n/B6
IO/nOE/DIFFIO_R15p/B6
MSEL0/B6
MSEL1/B6
MSEL2/B6
MSEL3/B6
CONF_DONE/B6
IO/CRC_ERROR/DIFFIO_R24p/B6
IO/INIT_DONE/DIFFIO_R24n/B6
IO/CLKUSR/DIFFIO_R23p/B6
IO/nCEO/DIFFIO_R23n/B6
B28
H28
K24
N24
N28
VCCIO6
VCCIO6
VCCIO6
VCCIO6
VCCIO6
ADBUS1
ADBUS0
VCCO6/IO/DIFFIO_R7p/B6/NC L21
FPGAD
Y1 CLK3/DIFFCLK_1n/B2
Y2 CLK2/DIFFCLK_1p/B2
R1 IO/DIFFIO_L25n/B2
R2
U4 IO/DIFFIO_L25p/B2
IO/DIFFIO_L27n/B2
U3 IO/DIFFIO_L27p/B2
R3
R4 IO/DIFFIO_L28p/B2
IO/DIFFIO_L28n/B2
T3 IO/DIFFIO_L29n/B2
T4
U1 IO/DIFFIO_L29p/B2
IO/DIFFIO_L30n/B2
U2 IO/DIFFIO_L30p/B2
V3 IO/DIFFIO_L31n/B2
V4 IO/DIFFIO_L31p/B2
V1
V2 IO/DIFFIO_L32n/B2
IO/DIFFIO_L32p/B2
AB1 IO/DIFFIO_L33n/B2
AB2
W1 IO/DIFFIO_L33p/B2
IO/DIFFIO_L34n/B2
W2 IO/DIFFIO_L34p/B2
U5
U6 IO/DIFFIO_L35n/B2
IO/DIFFIO_L35p/B2
Y3 IO/DIFFIO_L36n/B2
Y4 IO/DIFFIO_L36p/B2
AC1 IO/DIFFIO_L37n/B2
AC2
AD3 IO/DIFFIO_L37p/B2
IO/DIFFIO_L38n/B2
AC3 IO/DIFFIO_L38p/B2
AD1
AD2 IO/DIFFIO_L39n/B2
IO/DIFFIO_L39p/B2
AA3 IO/DIFFIO_L40n/B2
AA4
AE1 IO/DIFFIO_L40p/B2
AE2 IO/DIFFIO_L41n/B2
AF2 IO/DIFFIO_L41p/B2
IO/DIFFIO_L48n/B2
AE3 IO/DIFFIO_L48p/B2
R5 IO/B2
AB3 IO/B2
U7 IO/RUP1/B2
U8 IO/RDN1/B2
T7 IO/VREFB2N0/B2
T8
AB4 IO/VREFB2N1/B2
IO/VREFB2N2/B2
VCCO2/IO/B2/NC W7
T1
T5 VCCIO2
VCCIO2
W5
AA1 VCCIO2
AG1 VCCIO2
VCCIO2
(****)
AH14 CLK14/DIFFCLK_6n/B3
AG14 CLK15/DIFFCLK_6p/B3
IO/PLL1_CLKOUTn/B3 AF5
AE5
IO/PLL1_CLKOUTp/B3
USB-DET
ACBUS1
ACBUS5
IOA0
ACBUS3
ACBUS0
IOA1
IOA3
IOA2
IOA5
IOA4
IOA7
IOA6
IOA9
IOA8
IOA11
IOA10
IOA13
IOA12
IOA15
IOA14
(****)
AD7
AD10
AE9
AF6
AF9
EP3C____780FBGA
8-222
RM20
4
3
2
1
V33O
AE6
AD5
AF4
AD4
AG3
AE4
AF3
AH3
AH4
AG4
AC7
AD8
AH6
AG6
AB8
AB9
AH7
AG7
AC8
AB7
AA10
AA8
AH8
AG8
AF7
AE7
AF8
AE8
AF10
AE10
AH10
AG10
AF12
AE12
AF11
AE11
AH11
AG11
AH12
AG12
AE13
EP3C____780FBGA
V33O
H
V33O
X_ASDO
IO/DIFFIO_B1n/B3
IO/DIFFIO_B1p/B3
IO/DIFFIO_B2n/B3
IO/DIFFIO_B2p/B3
IO/DIFFIO_B3n/B3
IO/DIFFIO_B3p/B3
IO/DIFFIO_B4n/B3
IO/DIFFIO_B4p/B3
IO/DIFFIO_B5n/B3
IO/DIFFIO_B5p/B3
IO/DIFFIO_B6n/B3
IO/DIFFIO_B6p/B3
IO/DIFFIO_B7n/B3
IO/DIFFIO_B7p/B3
IO/DIFFIO_B8n/B3
IO/DIFFIO_B8p/B3
IO/DIFFIO_B9n/B3
IO/DIFFIO_B9p/B3
IO/DIFFIO_B10n/B3
IO/DIFFIO_B10p/B3
IO/DIFFIO_B11n/B3
IO/DIFFIO_B11p/B3
IO/DIFFIO_B12n/B3
IO/DIFFIO_B12p/B3
IO/DIFFIO_B13n/B3
IO/DIFFIO_B13p/B3
IO/DIFFIO_B14n/B3
IO/DIFFIO_B14p/B3
IO/DIFFIO_B15n/B3
IO/DIFFIO_B15p/B3
IO/DIFFIO_B16n/B3
IO/DIFFIO_B16p/B3
IO/DIFFIO_B17n/B3
IO/DIFFIO_B17p/B3
IO/DIFFIO_B18n/B3
IO/DIFFIO_B18p/B3
IO/DIFFIO_B20n/B3
IO/DIFFIO_B20p/B3
IO/DIFFIO_B28n/B3
IO/DIFFIO_B28p/B3
G
F
IO/DIFFIO_B21p/B3
IO/B3
IO/B3
IO/B3
IO/B3
IO/B3
Y10
AB13 IO/VREFB3N2/B3
IO/VREFB3N0/B3
(****)
AB11 IO/VREFB3N1/B3
AB10
AD6 VCCO3/IO/DIFFIO_B19p/B3/NC
VCCIO3
AD9 VCCIO3
AD13
AA11 VCCIO3
AH2 VCCIO3
AH5 VCCIO3
VCCIO3
AH9
AH13 VCCIO3
VCCIO3
E
EP3C____780FBGA
4-152
Unmounted
V33O
U11
MRAM_DQL4
MRAM_DQL5
MRAM_DQL6
MRAM_DQL7
MRAM_WE
MRAM_A6
MRAM_A7
MRAM_A8
MRAM_A9
MRAM_A10
X_ROMnCS
U5X
2
XDATAO
R21
1
2
3
4
1
V33O
GND
47R
nCS
DATA
VCC
GND
VCC
VCC
DCLK
ASDI
8
7
6
5
V33O
XDCLK
X_ASDO
EPCS16SI8N
D
RM18
4-472
RM19
4-102
NCP803SN293T1G
140ms Min
MSEL3
MSEL2
MSEL1
MSEL0
GND
MRAM_DQU10
MRAM_DQU9
MRAM_DQU8
MRAM_A15
MRAM_A14
MRAM_A13
MRAM_A12
MRAM_A11
L4
L5
L6
RED
RED
RED
ULED0
ULED1
ULED2
SW1
SW DIP-4S
MR2A6A
R16
1
PSW0
GND
5
6
7
8
2
X_CYC_CE
XNCONFIG
1
1
2
2
R15 101
RESET
V33O
1
2
GND
MRAM_DQU11 V33O
U12
2
X_CYC_NCSO
V25
V33O
1
V33O
GND
MRAM_A18
MRAM_A17
MRAM_A16
MRAM_OE
MRAM_BE1
MRAM_BE0
MRAM_DQU15
MRAM_DQU14
MRAM_DQU13
MRAM_DQU12
2
EPCS64SI16N
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
4
3
2
1
GND
V33O
A17
A16
A15
G#
UB#
LB#
DQU15
DQU14
DQU13
DQU12
VSS
VDD
DQU11
DQU10
DQU9
DQU8
DC
A14
A13
A12
A11
A10
5
6
7
8
MRAM_DQL0
MRAM_DQL1
MRAM_DQL2
MRAM_DQL3
A0
A1
A2
A3
A4
E#
DQL0
DQL1
DQL2
DQL3
VDD
VSS
DQL4
DQL5
DQL6
DQL7
W#
A5
A6
A7
A8
A9
8
7
6
5
472
MRAM_CE
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
MRAM_A1
MRAM_A2
MRAM_A3
MRAM_A4
MRAM_A5
R13
1
2
3
4
GND
16
15
14
13
12
11
10
9
4
3
2
1
104
DCLK
ASDI
N.C
N.C
N.C
N.C
GND
VCC
1
1
2
FC6
D
VCC
VCC
N.C
N.C
N.C
N.C
nCS
DATA
VCC 3
1 GND
U5
1
2
3
4
5
6
7
8
V33O
V33O
103
C
1
C
SW2
PJSH
V33O
V33O
2
2
2
GND
R18
152
152
V33O
1
1
GND
R19
V33O
TCK
TDO
TMS
TDI
CNTDI
1Y 6
2Y 4
SN74LVC2G17
4-47R
GND
HEADER 5X2
R10
2
USBRESET 1
L7
1 2
RM26
1
2
3
4
B
XCONFDONE
8
7
6
5
XTCK
XTDI
XTMS
Q2 R17 152
DTC143EE
1
2
JP3
V33O
R14
102
Q1
B
BLUE
XUSBRESET
(****)
GND
GND
1
GND
U6
1 1A
3 2A
1
5
6
7
8
E
4
3
2
1
1
3
5
7
9
C
RM17
1
3
5
7
9
E
B
CN2
2
4
6
8
10
V 5
GND2
VCC 4
6
N.C. 8
GND10
2 G
V33O
[CONF_DONE]
C
JTAG
These pins are connected to VCCIO.
You need to set them as INPUT.
B
DTC143EE
4-47R
102
2
XTDO
EDA004R2-SCH-C.pdf
V33O
GND
3
1
3
CM16
V33O
GND
GND
GND
V33O
V33O
V12 V33O
CM17
4
2X104
2
4
2X104
2
4
2X104
2
2X104
SN74LVC2G17
V33OV33O
1
CM15
3
CM14
V33O
1
3
1Y 6
4
2Y
4
2A
1
1A
2 G
3
V33O
U7
2
CNTMS 1
V 5
GND
GND
GND
1
2
3
GND
4
3
CM20
4
2X104
2
4
2X104
2
4
2
2X104
1
CM19
3
1
3
1
CM18
GND
Altera Cyclone III F780 USB-FPGA board Rev.2
HuMANDATA LTD.
OSAKA JAPAN
www.hdl.co.jp/en/(Global)
www.hdl.co.jp/(Japan)
A
Date:
10-May-2011
5
A
DOC. No.
File:
13:34:54
6
EDA-004
C
Sheet
FPGA1.sch
7
of
3
8
4
1
V18
V10
V33O
GND
2
3
4
5
6
7
8
V18
V10
V33O
GND
H
H
[B7]
[B8]
FPGAH
CLKAN
CLKAP
IOA[0..49]
IOB[0..49]
IOA[0..49]
IOB[0..49]
CLKAP
CLKAN
CLKBP
CLKBN
CLKAP
CLKAN
CLKBP
CLKBN
C19
D19
C20
D20
E18
F18
A21
B21
C22
D21
C24
D24
A23
B23
D25
C25
E21
F21
A26
A25
D22
E22
C26
B26
XDCLK
XDCLK
A15 CLK8/DIFFCLK_5n/B7
B15
CLK9/DIFFCLK_5p/B7
IO/PLL2_CLKOUTn/B7 C23
IO/PLL2_CLKOUTp/B7 D23
IOB23
IOB22
G
IOB27
IOB26
IOB31
IOB29
IOB30
FPGAI
1
1
P7
P8
IO/DIFFIO_T47n/B7
IO/DIFFIO_T47p/B7
IO/DIFFIO_T49n/B7
IO/DIFFIO_T49p/B7
IO/DIFFIO_T51n/B7
IO/DIFFIO_T51p/B7
IO/DIFFIO_T52n/B7
IO/DIFFIO_T52p/B7
IO/DIFFIO_T54n/B7
IO/DIFFIO_T54p/B7
IO/DIFFIO_T55n/B7
IO/DIFFIO_T55p/B7
IO/DIFFIO_T56n/B7
IO/DIFFIO_T56p/B7
IO/DIFFIO_T57n/B7
IO/DIFFIO_T57p/B7
IO/DIFFIO_T58n/B7
IO/DIFFIO_T58p/B7
IO/DIFFIO_T59n/B7
IO/DIFFIO_T59p/B7
IO/DIFFIO_T60n/B7
IO/DIFFIO_T60p/B7
IO/DIFFIO_T61n/B7
IO/DIFFIO_T61p/B7
A14
B14 CLK10/DIFFCLK_4n/B8
CLK11/DIFFCLK_4p/B8
CLKBN
CLKBP
IOB0
IOB1
IOB3
1
1
P9
P10
C4
D4
B3
A3
A4
C8
D8
B8
C9
D11
A6
A7
E11
F11
C10
D10
F14
E14
A12
B12
C14
C13
D13
IOB8
IOB5
IOB7
IOB15
IOB14
B25
C21 IO/B7
IO/B7
E15
E17 IO/DIFFIO_T33p/B7
IO/DIFFIO_T38p/B7
IOB28
IOB25
IOB24
IOB21
IOB20
IOB19
IOB18
IOB17
IOB16
E
V33O
IO/RUP4/B7
IO/RDN4/B7
IO/VREFB7N0/B7
IO/VREFB7N1/B7
IO/VREFB7N2/B7
A22
B22
C18
D18
C17
D17
A19
B19
A18
B18
C16
D16
A17
B17
C15
D15
IO/DIFFIO_T53n/B7
IO/PADD0/DIFFIO_T53p/B7
IO/PADD1/DIFFIO_T50n/B7
IO/PADD2/DIFFIO_T50p/B7
IO/PADD3/DIFFIO_T46n/B7
IO/PADD4/DIFFIO_T46p/B7
IO/PADD5/DIFFIO_T45n/B7
IO/PADD6/DIFFIO_T45p/B7
IO/PADD7/DIFFIO_T44n/B7
IO/PADD8/DIFFIO_T44p/B7
IO/PADD9/DIFFIO_T36n/B7
IO/PADD10/DIFFIO_T36p/B7
IO/PADD11/DIFFIO_T35n/B7
IO/PADD12/DIFFIO_T35p/B7
IO/PADD13/DIFFIO_T32n/B7
IO/PADD14/DIFFIO_T32p/B7
A16
A20
A24
A27
E16
E20
E23
H18
IOB11
IOB13
IOB12
IOB10
IOB6
IOB4
IOB9
IOB2
VCCIO7
VCCO7/IO/DIFFIO_T34p/B7/NC
VCCIO7
VCCIO7
VCCIO7
VCCIO7
VCCIO7
VCCIO7
VCCIO7
J15
(****)
V33O
[B5]
AH15 CLK12/DIFFCLK_7n/B4
AG15
CLK13/DIFFCLK_7p/B4
XDCLK
FPGAF
IO/PLL4_CLKOUTn/B4 AF23
AE23
IO/PLL4_CLKOUTp/B4
IO/DIFFIO_T2n/B8
IO/DIFFIO_T2p/B8
IO/DIFFIO_T3n/B8
IO/DIFFIO_T3p/B8
IO/DIFFIO_T4n/B8
IO/DIFFIO_T10n/B8
IO/DIFFIO_T10p/B8
IO/DIFFIO_T11p/B8
IO/DIFFIO_T14n/B8
IO/DIFFIO_T15p/B8
IO/DIFFIO_T17n/B8
IO/DIFFIO_T18n/B8
IO/DIFFIO_T19n/B8
IO/DIFFIO_T19p/B8
IO/DIFFIO_T21n/B8
IO/DIFFIO_T21p/B8
IO/DIFFIO_T26n/B8
IO/DIFFIO_T26p/B8
IO/DIFFIO_T27n/B8
IO/DIFFIO_T27p/B8
IO/DIFFIO_T30n/B8
IO/DIFFIO_T31n/B8
IO/DIFFIO_T31p/B8
IOA17
IOA16
IOA21
IOA20
IOA23
IOA22
IOA25
IOA24
IOA27
IOA26
IOA29
IOA28
IOA31
IOA30
C6
E4 IO/B8
IO/DIFFIO_T1n/B8
D6 IO/DIFFIO_T8p/B8
G14 IO/VREFB8N0/B8
G12 IO/VREFB8N1/B8
G9
IO/VREFB8N2/B8
D14
C12 IO/PADD15/DIFFIO_T30p/B8
IO/PADD16/DIFFIO_T29n/B8
D12 IO/PADD17/DIFFIO_T29p/B8
A10
G13 IO/PADD18/DIFFIO_T23n/B8
IO/PADD19/DIFFIO_T22n/B8
A11
B11 IO/DATA2/DIFFIO_T25n/B8
IO/DATA3/DIFFIO_T25p/B8
B10 IO/DATA4/DIFFIO_T23p/B8
B7
B6 IO/DATA5/DIFFIO_T18p/B8
IO/DATA6/DIFFIO_T17p/B8
C11 IO/DATA7/DIFFIO_T15n/B8
D9 IO/DATA8/DIFFIO_T14p/B8
A8 IO/DATA9/DIFFIO_T11n/B8
C7
D7 IO/DATA10/DIFFIO_T9n/B8
IO/DATA11/DIFFIO_T9p/B8
B4
F12 IO/DATA12/DIFFIO_T4p/B8
E12 IO/DATA13/DIFFIO_T20p/B8
IO/DATA14/DIFFIO_T20n/B8
H13 IO/DATA15/DIFFIO_T22p/B8
J13
A2 VCCO8/IO/DIFFIO_T24n/B8/NC
A5 VCCIO8
A9 VCCIO8
VCCIO8
A13 VCCIO8
E6
E9 VCCIO8
VCCIO8
H11 VCCIO8
E13
VCCIO8
F
F19
E19
F22
G17
G15
[B4]
FPGAE
C5
IO/PLL3_CLKOUTn/B8 D5
IO/PLL3_CLKOUTp/B8
IOA33
IOA32
IOA35
IOA34
IOA19
IOA18
(****)
AD15
AC15
AF15
AE15
AH17
AG17
AB16
AA16
AF17
AE17
AH18
AG18
AH19
AG19
AD17
AH21
AG21
AF18
AE18
AH22
AG22
AH23
AG23
AF19
AE19
AF25
AF24
AF20
AE20
AF21
AE21
AF26
AE25
AH25
AG25
AH26
AG26
IO/DIFFIO_B31n/B4
IO/DIFFIO_B31p/B4
IO/DIFFIO_B32n/B4
IO/DIFFIO_B32p/B4
IO/DIFFIO_B33n/B4
IO/DIFFIO_B33p/B4
IO/DIFFIO_B35n/B4
IO/DIFFIO_B35p/B4
IO/DIFFIO_B36n/B4
IO/DIFFIO_B36p/B4
IO/DIFFIO_B37n/B4
IO/DIFFIO_B37p/B4
IO/DIFFIO_B38n/B4
IO/DIFFIO_B38p/B4
IO/DIFFIO_B39n/B4
IO/DIFFIO_B40n/B4
IO/DIFFIO_B40p/B4
IO/DIFFIO_B41n/B4
IO/DIFFIO_B41p/B4
IO/DIFFIO_B42n/B4
IO/DIFFIO_B42p/B4
IO/DIFFIO_B43n/B4
IO/DIFFIO_B43p/B4
IO/DIFFIO_B44n/B4
IO/DIFFIO_B44p/B4
IO/DIFFIO_B45n/B4
IO/DIFFIO_B45p/B4
IO/DIFFIO_B46n/B4
IO/DIFFIO_B46p/B4
IO/DIFFIO_B47n/B4
IO/DIFFIO_B47p/B4
IO/DIFFIO_B48n/B4
IO/DIFFIO_B48p/B4
IO/DIFFIO_B49n/B4
IO/DIFFIO_B49p/B4
IO/DIFFIO_B59n/B4
IO/DIFFIO_B59p/B4
AF16
AE16
AC17
AD18
AD24
AE24
AF22
IO/DIFFIO_B34n/B4
IO/DIFFIO_B34p/B4
IO/DIFFIO_B39p/B4
IO/B4
IO/B4
IO/DIFFIO_B54n/B4
IO/DIFFIO_B52n/B4
AA17
AB17
AA15
AC18
IO/RUP2/B4
IO/RDN2/B4
IO/VREFB4N2/B4
IO/VREFB4N1/B4
Y16
VCCO4/IO/DIFFIO_B51n/B4/NC
VCCIO4
VCCIO4
VCCIO4
VCCIO4
VCCIO4
VCCIO4
VCCIO4
VCCIO4
AA18
AD16
AD20
AD23
AH16
AH20
AH24
AH27
V33O
EP3C____780FBGA
Y28
Y27 CLK7/DIFFCLK_3n/B5
CLK6/DIFFCLK_3p/B5
R28
R27 IO/DIFFIO_R27n/B5
IO/DIFFIO_R27p/B5
R26 IO/DIFFIO_R28n/B5
R25 IO/DIFFIO_R28p/B5
T22 IO/DEV_OE/DIFFIO_R29n/B5
T21
U26 IO/DEV_CLRn/DIFFIO_R29p/B5
IO/DIFFIO_R33n/B5
U25 IO/DIFFIO_R33p/B5
V26
V25 IO/DIFFIO_R37n/B5
IO/DIFFIO_R37p/B5
V28 IO/DIFFIO_R38n/B5
V27
W27 IO/DIFFIO_R38p/B5
IO/DIFFIO_R39n/B5
W28 IO/DIFFIO_R39p/B5
W26 IO/DIFFIO_R40n/B5
W25 IO/DIFFIO_R40p/B5
Y26
Y25 IO/DIFFIO_R41n/B5
IO/DIFFIO_R41p/B5
AB28 IO/DIFFIO_R42n/B5
AB27
AA26 IO/DIFFIO_R42p/B5
IO/DIFFIO_R43n/B5
AA25 IO/DIFFIO_R43p/B5
AB26
AB25 IO/DIFFIO_R44n/B5
AC28 IO/DIFFIO_R44p/B5
AC27 IO/DIFFIO_R45n/B5
IO/DIFFIO_R45p/B5
Y24 IO/DIFFIO_R46n/B5
Y23
AD28 IO/DIFFIO_R46p/B5
IO/DIFFIO_R47n/B5
AD27 IO/DIFFIO_R47p/B5
AE28
AE27 IO/DIFFIO_R48n/B5
IO/DIFFIO_R48p/B5
AD26 IO/DIFFIO_R49n/B5
AC26 IO/DIFFIO_R49p/B5
AC25 IO/DIFFIO_R51n/B5
AC24
U28 IO/DIFFIO_R51p/B5
IO/DIFFIO_R32n/B5
U27 IO/DIFFIO_R32p/B5
AB24 IO/B5
IOB49
IOB48
IOA47
IOA46
IOA44
IOA45
IOA43
IOA42
IOA41
IOA40
IOA39
IOA38
IOA37
IOA36
IOA49
IOA48
G
F
AF27
T25 IO/DIFFIO_R50n/B5
IO/DIFFIO_R30p/B5
V33O
AA22
AB23
U23
AA24
IO/RUP3/B5
IO/RDN3/B5
IO/VREFB5N1/B5
IO/VREFB5N2/B5
T24
T28
W24
AA28
AG28
VCCIO5
VCCIO5
VCCIO5
VCCIO5
VCCIO5
VCCO5/IO/B5/NC
U21
(****)
E
EP3C____780FBGA
EP3C____780FBGA
EP3C____780FBGA
E5
G7
F7
G8
H8
E8
F8
E7
H10
J10
F10
G10
J12
G11
H12
H14
J14
C3
E10
FPGAJ
EP3C____780FBGA
IO/DIFFIO_B50n/B4/NC
IO/DIFFIO_B50p/B4/NC
IO/DIFFIO_B51p/B4/NC
IO/DIFFIO_B52p/B4/NC
IO/DIFFIO_B53n/B4/NC
IO/DIFFIO_B53p/B4/NC
IO/DIFFIO_B54p/B4/NC
IO/DIFFIO_B55n/B4/NC
IO/DIFFIO_B55p/B4/NC
IO/DIFFIO_B56n/B4/NC
IO/DIFFIO_B56p/B4/NC
IO/DIFFIO_B57n/B4/NC
IO/DIFFIO_B57p/B4/NC
IO/DIFFIO_B58n/B4/NC
IO/DIFFIO_B58p/B4/NC
IO/B4/NC
IO/B4/NC
IO/VREFB4N0/B4/NC
C
AD19
AC19
Y17
AE22
AB18
AB19
AD25
AD21
AC21
AA19
Y19
AB21
AB22
AD22
AC22
AB15
AA21
AB20
AC10
AF13
AB12
AC12
AF14
AE14
AD11
AC11
AA12
Y12
AA13
Y13
AB14
AA14
AD14
AC14
Y15
Y14
AD12
IO/DIFFIO_B19n/B3/NC
IO/DIFFIO_B21n/B3/NC
IO/DIFFIO_B22n/B3/NC
IO/DIFFIO_B22p/B3/NC
IO/DIFFIO_B23n/B3/NC
IO/DIFFIO_B23p/B3/NC
IO/DIFFIO_B24n/B3/NC
IO/DIFFIO_B24p/B3/NC
IO/DIFFIO_B25n/B3/NC
IO/DIFFIO_B25p/B3/NC
IO/DIFFIO_B26n/B3/NC
IO/DIFFIO_B26p/B3/NC
IO/DIFFIO_B27n/B3/NC
IO/DIFFIO_B27p/B3/NC
IO/DIFFIO_B29n/B3/NC
IO/DIFFIO_B29p/B3/NC
IO/DIFFIO_B30n/B3/NC
IO/DIFFIO_B30p/B3/NC
IO/B3/NC
IO/DIFFIO_T1p/B8/NC
IO/DIFFIO_T5n/B8/NC
IO/DIFFIO_T5p/B8/NC
IO/DIFFIO_T6n/B8/NC
IO/DIFFIO_T6p/B8/NC
IO/DIFFIO_T7n/B8/NC
IO/DIFFIO_T7p/B8/NC
IO/DIFFIO_T8n/B8/NC
IO/DIFFIO_T12n/B8/NC
IO/DIFFIO_T12p/B8/NC
IO/DIFFIO_T13n/B8/NC
IO/DIFFIO_T13p/B8/NC
IO/DIFFIO_T24p/B8/NC
IO/DIFFIO_T16n/B8/NC
IO/DIFFIO_T16p/B8/NC
IO/DIFFIO_T28n/B8/NC
IO/DIFFIO_T28p/B8/NC
IO/B8/NC
IO/B8/NC
F15
H15
J16
H16
F17
G16
H17
G19
G18
H21
G22
H19
J19
G20
G21
E24
E25
J17
IO/DIFFIO_T33n/B7/NC
IO/DIFFIO_T34n/B7/NC
IO/DIFFIO_T37n/B7/NC
IO/DIFFIO_T37p/B7/NC
IO/DIFFIO_T38n/B7/NC
IO/DIFFIO_T39n/B7/NC
IO/DIFFIO_T39p/B7/NC
IO/DIFFIO_T40n/B7/NC
IO/DIFFIO_T40p/B7/NC
IO/DIFFIO_T41n/B7/NC
IO/DIFFIO_T41p/B7/NC
IO/DIFFIO_T42n/B7/NC
IO/DIFFIO_T42p/B7/NC
IO/DIFFIO_T43n/B7/NC
IO/DIFFIO_T43p/B7/NC
IO/DIFFIO_T48n/B7/NC
IO/DIFFIO_T48p/B7/NC
IO/B7/NC
IO/DIFFIO_R25n/B5/NC
IO/DIFFIO_R25p/B5/NC
IO/DIFFIO_R26n/B5/NC
IO/DIFFIO_R26p/B5/NC
IO/DIFFIO_R30n/B5/NC
IO/DIFFIO_R31n/B5/NC
IO/DIFFIO_R31p/B5/NC
IO/DIFFIO_R34n/B5/NC
IO/DIFFIO_R34p/B5/NC
IO/DIFFIO_R35n/B5/NC
IO/DIFFIO_R35p/B5/NC
IO/DIFFIO_R36n/B5/NC
IO/DIFFIO_R36p/B5/NC
IO/DIFFIO_R50p/B5/NC
IO/VREFB5N0/B5/NC
IO/B5/NC
IO/B5/NC
IO/DIFFIO_R1n/B6/NC
IO/DIFFIO_R1p/B6/NC
IO/DIFFIO_R2n/B6/NC
IO/DIFFIO_R2p/B6/NC
IO/DIFFIO_R3n/B6/NC
IO/DIFFIO_R3p/B6/NC
IO/DIFFIO_R4p/B6/NC
IO/DIFFIO_R5n/B6/NC
IO/DIFFIO_R5p/B6/NC
IO/DIFFIO_R6n/B6/NC
IO/DIFFIO_R6p/B6/NC
IO/DIFFIO_R7n/B6/NC
IO/DIFFIO_R10n/B6/NC
IO/DIFFIO_R11p/B6/NC
IO/B6/NC
IO/B6/NC
IO/B6/NC
IO/DIFFIO_L42n/B2/NC
IO/DIFFIO_L42p/B2/NC
IO/DIFFIO_L43n/B2/NC
IO/DIFFIO_L43p/B2/NC
IO/DIFFIO_L44n/B2/NC
IO/DIFFIO_L44p/B2/NC
IO/DIFFIO_L45n/B2/NC
IO/DIFFIO_L45p/B2/NC
IO/DIFFIO_L46n/B2/NC
IO/DIFFIO_L46p/B2/NC
IO/DIFFIO_L47n/B2/NC
IO/DIFFIO_L47p/B2/NC
IO/DIFFIO_L49n/B2/NC
IO/DIFFIO_L49p/B2/NC
IO/DIFFIO_L50n/B2/NC
IO/DIFFIO_L50p/B2/NC
IO/B2/NC
IO/DIFFIO_L26n/B2/NC
IO/DIFFIO_L26p/B2/NC
V5
V6
V7
V8
W3
W4
Y5
Y6
Y7
W8
AA5
AA6
AC4
AC5
AB5
AB6
AA7
R6
R7
B
K3
K4
L3
L4
M3
M4
J5
J6
K7
J7
L8
L6
L7
N3
N4
M7
M8
L2
N8
IO/DIFFIO_L13n/B1/NC
IO/DIFFIO_L13p/B1/NC
IO/DIFFIO_L14n/B1/NC
IO/DIFFIO_L14p/B1/NC
IO/DIFFIO_L15n/B1/NC
IO/DIFFIO_L15p/B1/NC
IO/DIFFIO_L16n/B1/NC
IO/DIFFIO_L16p/B1/NC
IO/DIFFIO_L17n/B1/NC
IO/DIFFIO_L17p/B1/NC
IO/DIFFIO_L18n/B1/NC
IO/DIFFIO_L19n/B1/NC
IO/DIFFIO_L19p/B1/NC
IO/DIFFIO_L20n/B1/NC
IO/DIFFIO_L20p/B1/NC
IO/DIFFIO_L21n/B1/NC
IO/DIFFIO_L21p/B1/NC
IO/DIFFIO_L22p/B1/NC
IO/B1/NC
C
G24
G23
F25
F24
G26
G25
H23
K22
K21
J24
J23
L22
E26
H25
H22
M23
M24
D
R21
P21
R23
R22
T26
Y22
W22
V22
U22
W21
V21
V24
V23
AE26
U24
R24
AA23
D
(****)
B
These pins are connected to VCCIO.
You need to set them as INPUT.
EDA004R2-SCH-C.pdf
3
CM29
4
2X104
2
4
2X104
2
4
CM28
V33O
1
3
CM27
2X104
2
4
2
2X104
V33O
1
3
CM26
V33O
1
3
1
V33O
GND
GND
GND
GND
V33O
V33O
V33O
V33O
GND
1
2
3
GND
4
3
1
3
CM24
GND
CM25
4
2X104
2
4
2X104
2
4
2X104
2
4
2
2X104
CM23
1
3
1
3
1
CM22
GND
Altera Cyclone III F780 USB-FPGA board Rev.2
HuMANDATA LTD.
OSAKA JAPAN
www.hdl.co.jp/en/(Global)
www.hdl.co.jp/(Japan)
A
Date:
10-May-2011
5
A
DOC. No.
File:
13:34:54
6
EDA-004
C
Sheet
FPGA2.sch
7
of
4
8
4

Documentos relacionados

Dados do IAP - 2004

Dados do IAP - 2004 ABC ABC AM - 1570 ABC DOMINGO ABC JORNAL ABC REPORTER ABEMD ABERJE ON LINE ABO ABOLIÇÃO FM - 95,7 ABOUT ABRAMO ABRAPP ABRAVA ABRIL.COM ABROLHOS - 104,5 AC&M ACADEMIA MINEIRA DE LETRAS AÇÃO FIXA ACE...

Leia mais