Jahresbericht 2015

Transcrição

Jahresbericht 2015
Annual Report
2015
I n h a l t S V E R Z E I C HN I S
Annua l
2
Re po r t
–
Co n te nts
Vorwort
3
Foreword
Organe und Gremien der IHP GmbH
4
Govering Body of IHP
Forschung des IHP
6
IHP‘s Research
Das Jahr 2015
26
Update 2015
Ausgewählte Projekte
32
Selected Projects
Gemeinsame Labore
66
Joint Labs
Publikationen
76
Publications
Angebote und Leistungen
94
Deliverables and Services
Wegbeschreibung zum IHP
102
Directions to IHP
Impressum
103
Imprint
2015
Vo r wo r t
–
F o re w o r d
Liebe Leserinnen und Leser,
Dear Friends and Readers,
unser Institut wurde im Oktober 2015 nach sieben Jahren er-
Our institute was re-evaluated after seven years in October
neut evaluiert. Das IHP trat dabei als hochmotiviertes Team mit
2015. IHP presented itself as a highly motivated team with a
einer anspruchsvollen Strategie auf. Der Abschlussbericht wird
powerful strategy. The final report of the evaluation will be
im Sommer 2016 vorliegen.
available in summer 2016.
Unseren Mitarbeiterinnen und Mitarbeitern danken wir für die Ar-
We thank our employees for the work in the past year and the out-
beit im vergangenen Jahr sowie die hervorragenden Leistungen
standing accomplishments in previous years, and the achieve-
in den Vorjahren und das Erreichen ambitionierter Ziele. Dies
ment of ambitious goals. We were financially supported by the
wäre ohne die Unterstützung durch unsere Förderer der Branden-
Federal State Government of Brandenburg and the Federal Go-
burgischen Landesregierung und der Bundesregierung, sowie der
vernment of Germany. We were also supported by numerous
zahlreichen Kooperationspartner im In- und Ausland nicht mög-
partners in Germany and abroad. We would like to thank all of
lich gewesen, auch dafür herzlichen Dank.
them.
Unsere Forschungsstrategie, deren klare Ziele im Kapitel „For-
Our research strategy, whose clear objectives are illustrated in
schung des IHP“ thematisiert werden, setzten wir 2015 weiter
the chapter „IHP’s Research“, was successfully put into practice
erfolgreich um. Dies zeigt sich vor allem in der Vielzahl neuer
in 2015. This becomes especially evident by the large number
Forschungsprojekte. Das Spektrum reicht dabei von der Grund-
of new research projects. The spectrum ranges from basic to
lagen- bis zur angewandten Forschung. Wir sind hoch erfreut
applied research. We are delighted by the increased number of
über die gestiegene Anzahl an Projekten, die von der Deutschen
projects funded by the German Research Foundation (DFG), in
Forschungsgemeinschaft (DFG) gefördert werden, insbesondere
particular in cooperation with universities.
in Zusammenarbeit mit den Universitäten.
Our Joint Labs were expanded in 2015: In January we opened
Unsere Joint Labs verzeichneten 2015 erneut Zuwachs: Im
the Joint Lab „Wireless and Embedded System Design“ with the
Januar eröffneten wir das Joint Lab „Entwurf von Drahtlosen
University of Potsdam. Since April, we are conducting research
und Eingebetteten Systemen“ mit der Universität Potsdam. Seit
together with the Brandenburg Technical University Cottbus-
April wird zusammen mit der Brandenburgischen Technischen
Senftenberg in our Joint Lab „Dependable Sensor Networks“.
Universität Cottbus-Senftenberg im Joint Lab „Dependable
The combination of research and teaching in the Joint Labs
Sensor Networks“ geforscht. Die Kombination aus Forschung
produces well-trained, motivated, young professionals.
und Lehre in den gemeinsamen Laboren bringt gut ausgebil-
Our annual report contains information about our research pro-
dete, motivierte, junge Nachwuchskräfte hervor.
file, selected projects and Joint Labs. We wish you a pleasant
Unser Jahresbericht enthält Informationen über unser For-
reading.
schungsprofil, ausgewählte Projekte und die Joint Labs. Wir
wünschen eine angenehme Lektüre.
Bernd Tillack
Manfred Stöcker
Wiss.-Techn. Geschäftsführer
Adm. Geschäftsführer
An n ual
R ep ort
2015
3
Org a n e
&
G remie n
d er
I H P
G m bH
–
G o ver n i n g
B o dy
o f
i h p
Aufsichtsrat
Supervisory Board
Dr. Claudia Herok
Dr. Claudia Herok
Vorsitzende
Chair
Ministerium für Wissenschaft, Forschung und Kultur,
Ministry of Science, Research and Culture
Land Brandenburg State of Brandenburg
MR Dr. Ulf Lange
MR Dr. Ulf Lange
Stellv. Vorsitzender
Deputy
Bundesministerium für Bildung und Forschung
Federal Ministry of Education and Research
Dr.-Ing. Peter Draheim
Dr.-Ing. Peter Draheim
Kaustik solar GmbH, Hamburg (bis 11.08.2015)
Kaustik solar GmbH, Hamburg (until August 11, 2015)
Antje Fischer
Antje Fischer
Ministerium der Finanzen des Landes Brandenburg, Potsdam
Ministry of Finance, State of Brandenburg, Potsdam
Dr. Gunter Fischer
Dr. Gunter Fischer
IHP GmbH, Frankfurt (Oder)
IHP – Innovations for High Performance Microelectronics
Prof. Dr. Lothar Frey
Prof. Lothar Frey
Fraunhofer IISB, Erlangen
Fraunhofer IISB, Erlangen
Dr. Harald Richter
Dr. Harald Richter
IHP GmbH, Frankfurt (Oder)
IHP – Innovations for High Performance Microelectronics
Dr. Walter Rieß
Dr. Walter Rieß
IBM Research Zürich
IBM Research Zurich
Prof. Dr. Jörg Steinbach
Prof. Jörg Steinbach
BTU Cottbus-Senftenberg
Brandenburg University of Technology Cottbus–Senftenberg
Dr. Fiona Williams
Dr. Fiona Williams
Ericsson Eurolab Deutschland GmbH, Herzogenrath
Ericsson Eurolab Deutschland GmbH, Herzogenrath
Annua l
4
Re po r t
2015
Org a n e
&
G remie n
d er
I H P
G m bH
–
G o ver n i n g
B o dy
Wissenschaftlicher Beirat
Scientific Advisory Board
Prof. Dr. Robert Weigel
Prof. Robert Weigel
Vorsitzender
Friedrich-Alexander-Universität Erlangen-Nürnberg
o f
i h p
Chair
Friedrich-Alexander-University Erlangen-Nuremberg
Prof. Dr. Jörg Weber Prof. Jörg Weber
Stellv. Vorsitzender
Deputy Chair
Technische Universität Dresden
Technical University Dresden
Univ. Prof. Dr. Richard Hagelauer
Prof. Richard Hagelauer
Johnannes Kepler Universität, Linz, Österreich
Johannes Kepler University Linz, Austria
Gerhard Kahmen
Gerhard Kahmen
Rohde & Schwarz GmbH & Co. KG, München
Rohde & Schwarz GmbH & Co. KG, Munich
Dr. Heike Riel
Dr. Heike Riel
IBM Research Zürich, Schweiz
IBM Research Zurich, Switzerland
Dr. Günther Ruhl Dr. Günther Ruhl
Infineon Technologies AG, Regensburg
Infineon Technologies AG, Regensburg
Prof. Dr. Christian Schaeffer
Prof. Christian Schaeffer
Helmut-Schmidt-Universität, Hamburg
Helmut Schmidt University, Hamburg
Dr. Michael Schlechtweg
Dr. Michael Schlechtweg
Fraunhofer Institute IAF
Fraunhofer Institute IAF
Prof. Dr. Hans D. Schotten
Prof. Dr. Hans D. Schotten
Technische Universität Kaiserslautern
Technical University of Kaiserslautern
Prof. Dr. Ulla Wollenberger Prof. Ulla Wollenberger
Universität Potsdam
University of Potsdam
Geschäftsführer
Managing Directors
Prof. Dr. Bernd Tillack
Prof. Bernd Tillack
Wissenschaftlich-Technischer Geschäftsführer
Scientific Director
Manfred Stöcker Manfred Stöcker Administrativer Geschäftsführer
Administrative Director
An n ual
R ep ort
2015
5
IHP‘s Research
Annua l
6
Re po r t
2015
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Forschung des IHP
IHP‘s Research
Das IHP erforscht und entwickelt siliziumbasierte Systeme,
IHP researches and develops silicon-based systems, high-fre-
Höchstfrequenz-Schaltkreise und -Technologien einschließlich
quency circuits and technologies including new materials. It
neuer Materialien. Es realisiert damit prototypische Lösungen
realizes prototypical solutions for applications such as wireless
für Anwendungsbereiche wie die drahtlose und Breitbandkom-
and broadband communication, security, medical technology,
munikation, Sicherheit, Medizintechnik, Raumfahrt, Mobilität
aerospace, mobility, and industrial automation.
und Industrieautomatisierung.
Als Leibniz-Institut arbeitet das IHP an langfristigen, strate-
As a Leibniz Institute, IHP is working on long-term, strategic
gischen Forschungaufgaben. Dabei verbindet es gezielt Grundla-
research assignments. It combines basic research with applied
genforschung mit angewandter Forschung. Durch seine Pilotlinie
research. Through its pilot line with own, very powerful SiGe:C
mit den eigenen, sehr leistungsfähigen SiGe:C-BiCMOS-Technolo-
BiCMOS technologies, it is able to demonstrate complex solu-
gien ist es in der Lage, komplexe Lösungen durch innovative und
tions with innovative and industrially relevant prototypes.
industriell relevante Prototypen zu demonstrieren. Erarbeitete
Developed technologies are rapidly brought to a level that they
Technologien werden schnell auf ein hohes Niveau gebracht, um
can be offered as research-based services.
sie als forschungsbasierten Service anbieten zu können.
Ein wesentliches Merkmal des IHP ist das vertikale Forschungs-
An essential characteristic of the IHP is the vertical research
konzept. Durch die aufeinander abgestimmte Zusammenarbeit
concept. By concerted cooperation of its core competencies
der Kernkompetenzen Systemdesign, Schaltkreisdesign, Prozess-
system design, circuit design, process technology and materials
technologie und Materialforschung werden Synergieeffekte er-
research, synergies are achieved, leading to vertically optimized
reicht, die zu vertikal optimierten Lösungen führen. Externe Koo-
solutions. External cooperation and the exploitation of results
perationen und die Verwertung von Arbeitsergebnissen erfolgen
are carried out at all levels – from materials to systems.
auf allen Ebenen – vom Material bis hin zu Systemen.
Das Institut arbeitet an den folgenden vier eng miteinander ver-
The institute is working on the following four closely connected
bundenen Forschungsprogrammen:
research programs:
1. Drahtlose Systeme und Anwendungen
1. Wireless Systems and Applications
2. Hochfrequenz-Schaltkreise
2. RF Circuits
3. Technologieplattform für drahtlose und Breitbandkommunikation
3. Technology Platform for Wireless and Broadband Communication
4. Materialien für die Mikro- und Nanoelektronik
4. Materials for Micro- and Nanoelectronics
Strategische Ziele des Institutes sind sichere und autono-
Strategic goals of the institute are secure and autonomous
me drahtlose Sensornetze, WLAN mit Datenraten bis über
wireless sensor networks, WLAN with data rates of up to 100 gi-
100 Gigabit pro Sekunde und zusätzlichen Funktionen, Tera-
gabits per second and with additional features, terahertz labs-
hertz-Labs-on-Chip und in Silizium integrierte, photonische
on-chip, and in silicon integrated photonic terabit per second
Terabit-pro-Sekunde-Systeme. Technologisch werden dafür SiGe-
systems. SiGe heterobipolar transistors are further developed
Heterobipolartransistoren bis zu den technisch erreichbaren
up to technically achievable frequencies. The potential of gra-
Frequenzen weiterentwickelt und die Möglichkeiten von Graphen
phene for industrially relevant applications in electronics and
für industriell relevante Anwendungen bei Elektronik und auch
also for integration in silicon photonics using its electro-opti-
für die Integration in die Siliziumphotonik unter Nutzung ihrer
cal properties is investigated.
elektrooptischen Eigenschaften erforscht.
An n ual
R ep ort
2015
7
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Drahtlose Systeme und Anwendungen | Wireless Systems and Applications
Dr. Krzysztof Piotrowski, Ievgen Kabin und Rita Winkler (von links) besprechen, wie der Demonstrator den Energieverbrauch eines Einfamilienhauses
abbilden kann. Mehrere solche Module können so Straßenzüge und gesamte Wohnkomplexe abbilden und sich untereinander koordinieren.
Dr. Krzysztof Piotrowski, Ievgen Kabin and Rita Winkler (from left) discuss the methods to emulate the energy usage of a family home in the
demonstrator. Several modules like this work in a coordinated way and can emulate a neighborhood or even a city district.
Im Programm „Drahtlose Systeme und Anwendungen“ werden
Complex systems for wireless communication and their appli-
komplexe Systeme für die drahtlose Kommunikation und deren An-
cations are researched and developed in the program “Wireless
wendungen untersucht und entwickelt. Ziel sind Hardware- / Soft-
Systems and Applications.” Targets are hardware / software
ware-Systemlösungen auf hochintegrierten Single-Chips, System-
system solutions realized as a highly integrated single chip, a
on-Chip (SoC) oder System-in-Packages (SiP).
system-on-chip (SoC), or as a system-in-package (SiP).
Annua l
8
Re po r t
2015
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Die Arbeiten werden in drei Gruppen durchgeführt. Jede For-
This work is performed in three research groups. Each of these
schungsgruppe betreibt parallel ein Joint Lab mit einer Universität
groups also manages a Joint Lab in cooperation with a university
in Brandenburg oder Berlin. Die Zahl der DFG-Projekte konnte auf
in Brandenburg or Berlin. The number of DFG projects increased
insgesamt 12 (inkl. internationaler Anbahnungsprojekte) gestei-
to a total of 12 (incl. international preparatory projects). In
gert werden. In der Abteilung wurden in 2015 ein neues Labor zur
the department a new laboratory for the development and pre-
Entwicklung und Fertigung von Prototypen und ein Labor für Tests
paration of prototypes and a laboratory for testing of the pre-
von gefertigten Chips aufgebaut und in Betrieb genommen. Ein
pared chips have been put into operation. A station for Hardware
Messplatz für Hardware-in-the-Loop (HiL)-Messungen von draht-
in the Loop (HiL) measurements of wireless high performance
losen Höchstgeschwindigkeitssystemen ist ebenfalls seit 2015 in
systems is also running since 2015. This measurement station
Betrieb. Dieser Messplatz erlaubt die Generierung von analogen Si-
allows the generation of analog signals with a bandwidth of
gnalen mit Bandbreiten von 30 GHz und Symbolraten von 60 GHz.
30 GHz and a symbol rate of 60 GHz. Thus, developing and
Durch dieses System können sehr effizient neue Modulationssy-
testing new advanced modulation schemes can be carried out
steme in MatLab beschrieben und getestet werden.
very efficiently in MatLab.
Zu den Kernpunkten der Forschung gehört die Untersuchung
New approaches for future ultra-high-speed networks are in-
neuer Ansätze für sehr schnelle zukünftige drahtlose Netze. Für
vestigated. For high-performance WLANs, data rates of 100
WLANs mit hoher Performance sollen Datenraten von über 100
Gbps are targeted using carrier frequencies up to 300 GHz.
Gbps bei Trägerfrequenzen bis zu 300 GHz erreicht werden. Dazu
In particular, a central question is to what extent the border
wird insbesondere die Fragestellung bearbeitet, inwieweit die
between analog and digital design influences speed and power
Grenze zwischen analogem und digitalem Design eine wesent-
consumption. The use of complex MIMO systems to attain high
liche Rolle für die Geschwindigkeit und den Energieverbrauch
bandwidth efficiency is under investigation. As systems move
des Systems spielt. Auch die Nutzung von komplexen MIMO-Sys-
to higher data rates, features such as localization and augmen-
temen zur Erzielung sehr hoher Bandbreiten-Effizienz wird unter-
ted reality (computer-controlled enhancement of perceived re-
sucht. Bei hohen Übertragungsraten werden Zusatzfeatures wie
ality) become increasingly relevant. A precise determination of
Abstandsmessung und Augmented Reality (computergestützte
distances as an integrated feature of a 60 GHz communication
Erweiterung der Realitätswahrnehmung) immer wichtiger. Es
system is under investigation. In the future, new research will
wird an Lösungen zur sehr genauen Messung von Abständen als
be initiated in the area of optical networks. Here we find a po-
integriertes Feature eines 60-GHz-Übertragungssystems gearbei-
sitive synergetic effect with the existing expertise in wireless
tet. In den nächsten Jahren sollen zusätzlich auch Forschungen
OFDM systems.
auf dem Gebiet der optischen Netze durchgeführt werden. Hier
ergibt sich ein sehr guter synergetischer Effekt mit den Kenntnissen der OFDM-Systeme im drahtlosen Bereich.
Die Erfolge der Arbeiten in der Gruppe „Drahtlose Breitband-
The success of the work in the group “Wireless Broadband Com-
Kommunikation“ haben im Jahr 2015 zur positiven Begutach-
munications” has led in 2015 to a positive assessment and ap-
tung und Bewilligung einer Reihe neuer Projekte geführt. So hat
proval of a series of new projects. 2015 started the large H2020
zum 01. Juli 2015 das H2020 EU-Großprojekt 5G-XHaul begon-
EU project 5G XHaul, which is coordinated by IHP. IHP is work-
nen, welches vom IHP koordiniert wird. Zu den Schwerpunkten
ing in this project especially in the areas mmWave beamfor-
des IHP gehören in diesem Projekt der 5G-PPP-Assoziation die
ming / MIMO and localization technologies and architectures.
Bereiche mmWave-Beamforming / MIMO sowie Lokalisierungs-
The project is running in the framework of the 5G-PPP associa-
verfahren und -Architekturen.
tion.
An n ual
R ep ort
2015
9
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Drei neue BMBF-Projekte wurden im Rahmen der BMBF-Ausschrei-
Three new BMBF projects were started in 2015 as part of the
bung Industrie 4.0 im Jahr 2015 begonnen. Das Projekt PROWILAN
BMBF call for proposals “Industry 4.0”. The project PROWILAN
(Professionelles Wireless Industrie LAN) beschäftigt sich mit der
(Professional Wireless Industrial LAN) deals with the appli-
Anwendung der 60-GHz-Übertragungs-Technologie für Augmen-
cation of the 60 GHz transmission technology for augmented
ted-Reality-Applikationen. Das Projekt DEAL (Drahtlose, zuverläs-
reality applications. The project DEAL (Wireless, reliable real-
sige Echtzeitkommunikation für Automatisierung, Produktion und
time communication for automation, production, and logistics
Logistik in der Industrie) untersucht die heutigen Beschränkun-
in the industry) investigates the current limitations of WLAN
gen des WLANs für Industrieanwendungen und entwickelt neue
for industrial applications and develops new MAC (Media Access
MAC (Media Access Control)-Protokolle sowie Basisbandprozes-
Control) protocols as well as baseband processor components
sor-Komponenten zur Reduzierung von Latenzzeiten. Im Projekt
to reduce latency. In the project ParSec (Parallel reliable and
ParSec (Paralleles zuverlässiges und sicheres Funksystem zur la-
secure radio system for latency-optimized factory automation),
tenzoptimierten Fabrikautomatisierung) wird der Einsatz des Ver-
the use of the Parallel Sequence Spread Spectrum (PSSS) meth-
fahres Parallel-Sequence-Spread-Spectrum (PSSS) zur Erhöhung
od is investigated to increase the flexibility and transmission
der Flexibilität und Übertragungssicherheit und zur Verringerung
reliability as well as the reduction of latency for industrial ap-
der Latenz für industrielle Anwendungen untersucht.
plications.
Im Bereich des DFG-Schwerpunktprogramms „Wireless 100 Gbps
In the DFG priority program “Wireless 100 Gbps and beyond”
and beyond“ wurden erste Ergebnisse erzielt und veröffentlicht.
first results were obtained and published. In the project
So konnte im Real100G.com-Projekt mit dem gewählten PSSS-
Real100G.com a transmission rate of 40 Gbps was reached
Ansatz eine Übertragungsrate von 40 Gbps erzielt werden. Das ge-
with the chosen PSSS approach. The frontend used came from
wählte Frontend stammte dabei aus einem anderen Projekt, das für
another project and was optimized for phase modulation.
Phasenmodulation optimiert war. Deshalb wird erwartet, dass die
Therefore, it is expected that the 100 Gbps can easily be
100 Gbps mit einem für Amplitudenmodulation optimierten
reached with a frontend design optimized for amplitude modu-
Frontend gut erreichbar sind. Im End-2-End100-Projekt konnte
lation. In the project End-2-End100 a real time Forward Error
ein Echtzeit-Fehlerkorrektur-Ansatz mit bis zu 120 Gbps gezeigt
Approach was used and reached up to 120 Gbps. For this result
werden. Dafür erhielt ein Mitarbeiter des IHP den „Young Scien-
an employee of IHP received the “Young Scientist Award” at the
tist Award“ auf der ISSSE Konferenz in 2015 verliehen. Im Projekt
conference ISSSE in 2015. An innovative phased array antenna
maximumMIMO konnte ein innovatives Phased-Array-Antennen-
concept was designed and simulated in the project maximum-
konzept entworfen und simuliert werden, mit dem sich im „Line of
MIMO, showing large performance gains in the “Line of Sight
Sight MIMO“ große Performance-Gewinne erreichen lassen.
MIMO” scenario.
Die Arbeiten zu Sensor-Netzwerken und Middleware-Plattformen
The research on Sensor Networks and Middleware Platforms is
konzentrieren sich auf anspruchsvolle Anwendungen von Sen-
concentrated on challenging applications of sensor networks.
sornetzen. Das Anwendungsgebiet reicht von Umweltmonitoring
The tasks include environmental monitoring, automation tech-
über Automatisierungstechnik und Schutz kritischer Infrastruk-
nology, critical infrastructure protection, and telemedicine.
turen bis zu Telemedizin. In diesem Bereich sind Energieeffizienz
In this area, energy efficiency and reliability are key require-
und Zuverlässigkeit Schlüsselfaktoren. Entsprechende Anwen-
ments. Currently, appropriate systems are developed in a time-
dungen werden aktuell weitgehend manuell umgesetzt. Deshalb
consuming manual fashion. The group is developing automated
arbeitet die Gruppe an entsprechenden Werkzeugen zur Automati-
tools to help implementing suitable systems and to support its
sierung der Anwendungserstellung und der Deployment-Planung.
subsequent deployment. In addition, it is working on a holistic
Zusätzlich arbeitet sie an der Umsetzung eines ganzheitlichen
security approach for wireless sensor networks. Topics include
Sicherheitsansatzes für Sensornetze in den bereits erwähnten An-
the protection against software attacks on sensor nodes as well
wendungsgebieten. Ziele sind der Schutz gegen Softwareangriffe
as tamper-resistant implementations of cryptographic opera-
auf Sensorknoten sowie manipulations-resistente Implementie-
tions. In the group sensor networks and middleware platforms,
Annua l
10
Re po r t
2015
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
rung kryptographischer Verfahren. In der Gruppe Sensornetze und
interesting results were obtained both in the field of sensor
Middleware-Plattformen wurden interessante Ergebnisse sowohl
networks and security.
im Bereich der Sensornetze als auch der Sicherheit erzielt.
Im Bereich Umweltmonitoring wurde im spanischen Malaga ein
In the area of environmental monitoring, a sensor network was built
Sensornetz aufgebaut. Ein steuerbares GSM-Modul wird nur bei
in Malaga, Spain. In order to work very energy efficient, a control-
Datenübertragung aktiviert, um so sehr energieeffizient zu arbei-
lable GSM module is only activated when data are transferred. The
ten. Das Netzwerk arbeitet seit dem Frühjahr 2015 stabil.
network has been operating in a stable manner since spring, 2015.
Im DIAMANT-Projekt wurde im vergangenen Jahr eine software-
In the project DIAMANT a software-based technology was de-
basierte Technik entwickelt, mit der sich die Ausbeute bei der
veloped in the past year, with the possibility of increasing the
Flash-Speicherproduktion vergleichbar stark erhöhen lässt, wie
yield in flash memory production to an extent comparable with
mit hardware-basierten Speicherreparaturtechniken. Außerdem
hardware-based memory repair techniques. In addition, a wire-
wurde ein Drahtlosnetzwerk, das in verschiedenen Frequenz-
less network that operates in different frequency bands was
bändern arbeitet, für einen Reichweitentest in einem realen
studied for a range test in a real telematics scenario to cre-
Telematik-Szenario untersucht, um auf Grundlage der Ergebnisse
ate, based on the results, the concept for a highly reliable and
die Konzeption für ein hochzuverlässiges und energieeffizientes
energy-efficient ad hoc network.
Ad-Hoc-Netzwerk zu erstellen.
Im Rahmen der Arbeiten zu Hardware Security wurde ein Kon-
As part of the work on hardware security, a concept was develo-
zept zur dynamischen Veränderung von Krypto-Beschleunigern
ped and evaluated for dynamic change of crypto accelerators.
entworfen und evaluiert. Parallel hierzu wurde der am IHP vor-
In parallel, the present IHP ECC (Elliptic Curve Cryptography)
liegende ECC (Elliptic Curve Cryptography)-Beschleuniger über-
accelerator was revised to harden it against side channel at-
arbeitet, um ihn gegen Seitenkanalangriffe zu härten. Besonders
tacks. It must be emphasized that the power consumption of
hervorgehoben werden muss hierbei, dass der Leistungsverbrauch
the already very efficient original designs was further reduced
des bereits sehr effizienten Ursprungsdesigns nochmals um etwa
by about 5 percent.
5 Prozent reduziert werden konnte.
Das IHP hat im Rahmen des StrokeBack-Projektes für das ambu-
Within the project StrokeBack IHP has developed a portable
lante Therapiekonzept ein tragbares Sensorsystem entwickelt,
sensor system for the outpatient therapy concept that guaran-
das mit Hilfe des am IHP entwickelten Krypto-Mikrocontrollers
ties confidentiality and data integrity by means of a crypto-
Vertraulichkeit und Datenintegrität garantiert. Es wird vom Pa-
microcontroller developed at the institute. It is worn by the
tienten tagsüber am Handgelenk getragen und ermittelt dessen
patient during the day on the wrist and records the activities
Aktivitäten des täglichen Lebens. Für das Training haptischer Fä-
of daily life. For the training of haptic capabilities this sensor
higkeiten wurde dieses Sensorsystem zusätzlich in ein Therapie-
system was integrated into a therapeutic device in the form of a
gerät in Form eines handlichen Würfels integriert und kommt
handy cube and is used as another exercise option. After seve-
als weitere Übungsmöglichkeit zum Einsatz. Nach mehreren
ral practice tests in the rehabilitation clinic in Wandlitz a study
Praxistests in der Rehabilitationsklinik in Wandlitz wird derzeit
is being prepared with the DRV and AOK Brandenburg.
mit der DRV- und AOK-Brandenburg eine Studie vorbereitet.
Auf dem Gebiet Design & Test Methodik werden neue Konzepte
The activities in Design Test and Methodology investigate new
zum Design und Test hochintegrierter Systeme untersucht. Dazu
concepts for the design and testing of highly integrated sys-
werden einerseits neue Designverfahren und Bibliotheken ent-
tems. On the one hand, new design approaches and libraries
wickelt und an realen Designs für Weltraumanwendungen veri-
are developed and verified using realistic designs for space ap-
An n ual
R ep ort
2015
11
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
fiziert, andererseits Testmethoden weiterentwickelt. Zusätzlich
plications. On the other hand, test procedures are developed
realisiert die Gruppe komplette digitale Tests für IHP-Projekte
further. The group is responsible for all digital test procedures
und für externe Kunden als Service.
as required by IHP projects and external customers.
Wichtige Ergebnisse, die auf diesem Gebiet erreicht wurden, be-
Important results that have been achieved in this area refer
ziehen sich auf fehlertolerante Schaltungen einschließlich der
to fault-tolerant circuits including investigation of radiation
Untersuchung strahlungsfester Designs sowie verbesserter De-
hard designs and improved design methods for their realiza-
signmethoden zu ihrer Realisierung. Gemeinsam mit der Gruppe
tion. Together with the group “Fault tolerant computing” at
„Fehlertolerantes Rechnen“ an der Universität Potsdam wurde
the University of Potsdam an architecture has been proposed
eine Architektur zum Schutz gegen Soft-Errors vorgeschlagen.
for protection against soft errors. The achieved error tolerance
Die erreichte Fehlertoleranz bezüglich Single Event Effects (SEE)
with respect to single event effects (SEE) is comparable to that
ist vergleichbar mit dem durch Triple Modular Redundancy (TMR)
of Triple Modular Redundancy (TMR), but with a reduced power
erreichbaren Verfahren, aber bei einem, in Simulationen ermit-
consumption of 26-28 percent, determined in simulations. In
telten, reduzierten Leistungsverbrauch von 26-28 Prozent. Da-
addition, the tolerance to timing errors is significantly higher
rüber hinaus ist die Toleranz gegenüber Timing-Fehlern deutlich
than with conventional TMR circuits.
höher als mit herkömmlichen TMR-Schaltungen.
Auf Komponentenebene wurde hoher Aufwand in ein strahlungs-
At the component level, high effort was invested in a radiation-
festes Konzept unter Nutzung einer nicht strahlungsfesten Biblio-
proof concept using a not radiation hard library. One result
thek investiert. Ein Ergebnis war die Entwicklung von verschiedenen
has been the development of various flip-flop components by
Flipflop-Komponenten mittels TMR-Architektur. Es wurde gezeigt,
means of TMR architecture. It has been shown that the use of
dass die Verwendung nicht strahlungsfester Flipflops in dem neuen
not radiation hard flip-flops in the new TMR layout leads to an
TMR-Layout zu exzellentem Verhalten unter Strahlung führt. Wei-
excellent behavior under radiation. Furthermore, a seamless in-
terhin wurde eine nahtlose Integration dieser Flipflops in den Stan-
tegration of these flip-flops in the standard ASIC design flow
dard-ASIC-Designflow vorgeschlagen, sodass sie von den CAD-Tools
has been proposed, so that they are treated by the CAD tools
wie Standard-Flipflops behandelt werden. Die Messungen haben
as standard flip-flops. The measurements have confirmed that
bestätigt, dass die entwickelten Flipflops bis zu einer LET (linearer
the developed flip-flops are radiation hard up to a LET (linear
Energietransfer)-Energie von 67 MeV*cm / mg strahlungsfest sind.
energy transfer) energy of 67 MeV * cm2 / mg.
Innerhalb eines Industrie-Raumfahrtprojektes wurde ein ASIC
Within an industrial space project an ASIC has been specified at
auf Systemebene spezifiziert und entwickelt, der die Funktion
the system level and designed to execute the function of a “Re-
einer „Remote Terminal Unit“ in Satelliten ausführen soll. Die-
mote Terminal Unit” in satellites. This ASIC is one of the first
ser ASIC ist einer der ersten Mixed-Signal-Prozessoren für Raum-
mixed-signal processors for space applications and includes a
fahrtanwendungen und enthält einen LEON2-32-bit-Prozessor
LEON2 32-bit processor and several interfaces as well as AD and
sowie verschiedene Interfaces einschließlich AD- und DA-Kon-
DA converters. The system has already been successfully tested
verter. Das System wurde bereits erfolgreich auf Wafern getestet
on wafer and manufactured as dual-chip in package in 2015.
2
und 2015 als Dual-Chip-in-Package gefertigt.
Das H2020-Projekt SEPHY, bei dem das IHP die Untersuchung
The H2020 project SEPHY was started, wherein the IHP studies
und Implementierung eines digitalen Controllers für ein strah-
and implements a digital controller for a radiation hard Ether-
lungsfestes Ethernet-Chip übernimmt, wurde gestartet. Auf die
net chip. The H2020-Euro Star Project PISA is aimed at the im-
Implementierung einer neuen adaptiven Multi-Core-Prozessor-
plementation of a new adaptive multi-core processor platform
plattform für Raumfahrtanwendungen zielt das ebenfalls begon-
for space applications.
nene H2020-Eurostar-Projekt PISA.
Annua l
12
Re po r t
2015
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Hochfrequenz-Schaltkreise | RF Circuits
Impulse Radio-UWB-Modul zur Demonstration von Two-Way-Ranging Lokalisierungsanwendungen.
Impulse Radio UWB module to demonstrate Two-Way-Ranging based localization applications.
Im Programm „Hochfrequenz-Schaltkreise“ werden integrierte
In this program integrated mm-wave and THz circuits with fre-
mm-Wellen und THz-Schaltkreise mit Frequenz-Synthesizern,
quency synthesizers, broadband mixed-signal circuits and cir-
Breitband-Mischsignal-Schaltkreise sowie Schaltkreise für draht-
cuits for wireless applications with ultra-low power consump-
lose Anwendungen mit sehr geringem Energieverbrauch entwi-
tion are developed and realized as prototypes.
ckelt und als Prototypen realisiert.
An n ual
R ep ort
2015
13
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Integrierte Millimeterwellen-HF-Schaltkreise, wie beispielsweise
Integrated millimeter-wave circuits such as transmitter and
Sende- und Empfangsschaltungen und Frequenz-Synthesizer zum
receiver circuits and frequency synthesizers for wireless com-
Einsatz in der drahtlosen Kommunikation, sind weiterhin ein Ar-
munication at roughly 60 to 720 GHz are a key area. In the
beitsschwerpunkt. Derzeit werden Schaltungen bei Frequenzen
future they should enable applications in wireless communi-
von etwa 60 bis 720 GHz entwickelt. Sie sollen in Zukunft Anwen-
cation with data rates up to 100 Gbps at a bandwidth of more
dungen im Bereich der drahtlosen Kommunikation mit Bandbrei-
than 25 GHz. In addition, the design of circuits for the THz
ten von über 25 GHz und Datenraten bis zu 100 Gbps ermöglichen.
region increasingly also enables applications in spectroscopy
Die Erschließung des THz-Bereiches in der Schaltungstechnik
and short-range sensor systems for material analysis. For these
ermöglicht zunehmend auch Anwendungen im Bereich der Spek-
applications, the developed circuits here provide relevant low-
troskopie und der Sensorik zur Stoffanalyse. Damit können auch
cost electronic silicon-based building blocks. The same applies
für solche Anwendungsfelder preiswerte elektronische Schal-
to radar and imaging applications for security systems and non-
tungen auf Si-Basis bereitgestellt werden. Ähnliches gilt für Ra-
destructive material characterization.
dar- und Bildgebungsanwendungen für Sicherheitstechnik und
zerstörungsfreie Materialprüfung.
Bio-Sensorik stellt ein weiteres, wichtiges Anwendungsfeld dar.
In addition, bio-sensing presents an important field of applica-
Die Entwicklung der On-Chip-Mikrofluidik wird in Kombination
tion. The development of on-chip microfluidics in combination
mit den Schaltungen und Nahfeld-Sensoren zu Fortschritten in
with circuits and near-field sensors is a significant aspect in
Richtung Lab-on-Chip führen. Weiterhin werden neue Anwen-
developing lab-on-a-chip solutions. A further activity is the de-
dungen und Front-End-Architekturen durch die Kombination
sign of circuits for hetero-integrated processes and packaging
heterointegrierter Prozesse und Packaging-Technologien er-
technologies, which avoids critical off-chip interconnects and
möglicht. Dadurch werden parasitäre Elemente und kritische
enables realizing RF-front-ends with higher operating frequen-
Off-Chip-Verbindungen vermieden und damit höhere Betriebsfre-
cies, bandwidths, and levels of integration.
quenzen, Bandbreiten und Integrationsgrade erreicht.
Im THz (Sub-Millimeterwellen)-Frequenzbereich wurden erstmals
In the THz (sub-millimeter-wave) frequency range, integrated
integrierte Schaltungen um 500 GHz realisiert und erfolgreich ver-
circuits around 500 GHz were implemented and measured suc-
messen. Hier konnte die MMIC-Entwicklung so weit vorangetrieben
cessfully for the first time. The MMIC development was driven
werden, dass erstmals eine spektroskopische Gasanalyse im THz-
forward to the point that a spectroscopy gas analysis in THz
Frequenzbereich mit Hilfe eines Versuchsaufbaus demonstriert
frequency region was demonstrated with the help of an experi-
werden konnte. Die Ergebnisse bieten eine große Perspektive bei
mental setup. The results offer a large perspective on multiva-
der multivariaten Gasanalyse. Als Nächstes wurden darüber hinaus
riate gas analysis. For the next development step, first frontend
erste Front-End-Schaltungen für den Frequenzbereich um 720 GHz
circuits around 720 GHz were manufactured and characterized.
gefertigt und charakterisiert. Im Frequenzbereich oberhalb von
Furthermore, the use of on-chip antennas remains in the fore-
100 GHz steht weiterhin der Einsatz von On-Chip-Antennen im
ground of the development of transceivers in the frequency re-
Vordergrund. Diese erhöhen den Integrationsgrad der Schaltungen
gion above 100 GHz. These on-chip antennas directly increase
deutlich und vereinfachen den Aufbau kompletter Systeme signifi-
the integration level of the circuit and simplify the setup of the
kant. Der Trend zu Antennen-Arrays wird weiter verfolgt. Die Desi-
complete system significantly. The trend towards antenna ar-
Annua l
14
Re po r t
2015
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
gnmethodik entwickelt sich in Richtung Antennen-Elektronik-Co-
rays is being pursued further. The design methodology evolves
Design. Hier wurden in diesem Zusammenhang erste Ergebnisse
towards antenna-electronics-co-design. In this context, first
bei der Realisierung hochintegrierter 122-GHz-Radarsensoren mit
results in the implementation of the highly integrated 122 GHz
integrierten Antennen-Arrays präsentiert. Auf dem Gebiet der Bio-
radar sensors with on-chip antenna arrays were presented. In
elektronik wurden resonatorbasierte dielektrische Sensoren im
the field of bioelectronics resonator-based dielectric sensors
Mikrowellen-Bereich implementiert, die sich durch einen sehr ho-
in the microwave range have been implemented, that feature a
hen Permittivitäts-Messbereich (1-20) bei gleichzeitig niedrigem
large permittivity measurement range (1-20) and simultaneous
Leistungsverbrauch auszeichnen.
low power consumption.
Für die glasfasergestützte Breitbandkommunikation werden Kon-
New concepts and electronic components for fiberoptical
zepte und elektronische Komponenten für höchste Datenraten
broadband communication systems will be developed for ma-
entwickelt. Mit Einzelschaltungen, die Datenraten ≥ 56 Gbps pro
ximum data rates. Stand-alone circuits that can operate with
Faser und Wellenlänge bedienen können, werden Systemübertra-
data rates ≥ 56 Gbps per fiber and wavelength will enable sys-
gungsraten von 400 Gbps und mehr möglich. Dabei werden zu-
tem transmission rates of 400 Gbps and higher. Furthermore, in-
nehmend komplexe optische Modulationsverfahren eingesetzt,
creasingly complex optical modulation procedures will be used,
die die Linearitätsanforderungen an die Schaltungen erheblich
which seriously intensify the linearity demands on these cir-
verschärfen. Auch die Anforderungen an A / D- und D / A-Konver-
cuits. These requirements apply for A / D- and D / A-converters
ter werden dadurch immer größer. Die Kombination von Silizium-
as well. Moving towards monolithic integration of silicon pho-
photonik und Ansteuerelektronik auf einem Chip führt zu einer
tonics and electronics on one chip, significant improvements in
höheren Integrationsdichte mit dem Vorteil, die signifikanten
integration density and system performance can be achieved by
parasitären Elemente an der elektro-optischen Schnittstelle
eliminating parasitic elements that degrade the performance of
deutlich zu reduzieren.
the optical / electrical interface.
Im Bereich der Breitband- und Mischsignal-Schaltungen für die
In the area of broadband and mixed-signal integrated circuits
glasfasergestützte Breitbandkommunikation wurden weitrei-
for fiberoptical communication significant results have been
chende Forschungsergebnisse erzielt, die den weltweiten Stand
achieved that have advanced the worldwide state-of-the-art.
der Technik verbessert haben. Auf diesem Gebiet wurde ein mono-
In this respect, a monolithically integrated optical receiver for
lithisch integrierter, optischer Empfänger mit Siliziumphotonik
a data rate of up to 40 Gbps was successfully demonstrated.
für Datenraten bis zu 40 Gbps erfolgreich demonstriert. Weiter-
Furthermore, stand-alone transimpedance amplifiers in a hybrid
hin wurden für die hybride Integration eigenständige komplexe
integration for optical receivers with a data rate of 64 Gbaud
Transimpedanzverstärker für optische Empfänger bis zu einer Da-
have been demonstrated and the results have been presented
tenrate von 64 Gbaud demonstriert und die Ergebnisse auf der re-
at the renowned International Solid-State Circuits Conference
nommierten International Solid-State Circuits Conference (ISSCC)
(ISSCC) 2016. In the area of driver circuits, the successful im-
2016 präsentiert. Im Bereich der Treiberschaltungen wurde eine
plementation of a segmented optical modulator concept was
erfolgreiche Implementierung des Konzeptes eines segmentierten
shown up to a data rate of 40 Gbps and the first worldwide im-
optischen Modulators für Datenraten bis zu 40 Gbps realisiert und
plementation of a monolithically integrated optical modulator
der weltweit erste monolithisch integrierte Modulator mit integ-
with corresponding driver circuitry in a SiGe BiCMOS platform
riertem Treiber in einer SiGe-BiCMOS-Plattform demonstriert.
was demonstrated.
An n ual
R ep ort
2015
15
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Extrem energieeffiziente Sende- und Empfangsschaltungen
Extremely energy-efficient transmitter and receiver circuits
und HF-Komponenten spielen in drahtlosen Sensornetzen und
and RF components play an increasingly important role in wire-
generell in mobilen Anwendungen eine immer wichtigere Rol-
less sensor networks and in general in mobile applications.
le. Hierzu werden innovative Impuls-Radio-UWB-Transceiver
Ultra-low-power RF frontends and components are developed
und Schaltungen für Wake-Up-Empfänger entwickelt, mit deren
for wireless sensor networks. For this, innovative impulse UWB
Hilfe die geforderte Batterie-Lebensdauer von zehn Jahren er-
transceivers and circuits for wake-up-receivers are investi-
reicht werden soll. Dabei spielt die Einbeziehung von passiven
gated that should help to achieve the required ten years battery
Bauelementen mit sehr hoher Güte in die Schaltungen sowie
lifetime. At the same time the inclusion of passive components
deren mögliche On-Chip-Integration eine entscheidende Rolle.
with a very high quality in the circuits and their possible on-
Weitere schaltungstechnische Herausforderungen sind die sys-
chip integration play a crucial role. Other circuit technology
tematische Unterstützung von Power-Management-Fähigkeiten
challenges are the systematic support of power-management-
und die Robustheit gegenüber widrigen Spannungsversorgungs-
abilities and the robustness against unfavorable power supply
Bedingungen (z. B. schwächer werdende Batterie, wechselnde
conditions (e.g. a weakening battery, changing conditions dur-
Bedingungen beim Energy-Harvesting).
ing energy harvesting).
Auf dem Gebiet der Ultrabreitband (UWB)-Funktechnik konnte
In the area of ultra-wideband (UWB) wireless technologies a
eine Demonstrationsplattform geschaffen werden, die die Mög-
demonstrator platform has been established that offers the
lichkeiten bezüglich robuster Kommunikation unter schwierigen
possibility of robust communication in harsh environments,
Umgebungsbedingungen, zentimetergenauer Lokalisierung von
centimeter-accuracy local positioning of wireless sensor nodes
Funkknoten und Impuls-Radaranwendungen zeigt. Damit können
as well as impulse-radar applications. Using this platform,
anwendungsspezifische Szenarien evaluiert werden, um so auch
application-specific scenarios can be evaluated to further
eine potentielle Verwertung der entwickelten UWB-ICs zu unter-
support potential exploitation of the developed UWB ICs. The
stützen. Die Entwicklung von Hochfrequenz-Schaltungen mit
development of radio frequency circuits with extremely low
extrem niedrigem Leistungsverbrauch für Wake-Up-Empfänger
power consumption for wake-up receivers will be continued.
wird fortgesetzt. Untersuchungen zum Einsatz passiver Bauele-
Investigations regarding the use of passive elements with very
mente mit sehr hoher Güte und von bei niedrigen Arbeitspunkten
high quality factor and SiGe HBTs operated at very low biasing
betriebenen SiGe-HBTs werden genutzt, um optimale Lösungen
points will be used to realize optimal solutions. In addition to
anzustreben. Darüber hinaus wurden Power-Management-Schal-
the above, power management circuits have been developed
tungen entwickelt, um die Gesamtbilanz im Leistungsverbrauch
to further optimize the overall power consumption of energy-
von energieeffizienten Funksystemen weiter zu verbessern.
efficient wireless systems.
Annua l
16
Re po r t
2015
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Technologieplattform für drahtlose und Breitbandkommunikation | Technology Platform for
Wireless and Broadband Communication
Dr.-Ing. Falk Korndörfer (vorn), Christian Wipf und Frank Reimer nutzen einen Waferprober zum Messen von Chips in einem Temperaturbereich
von -60°C bis 200°C.
Dr. Falk Korndörfer (front), Christian Wipf and Frank Reimer use a wafer prober to measure chips in a temperature range from -60°C to 200°C.
Die Technologieforschung am IHP konzentriert sich auf ver-
The Technology Research focuses on different topics, which
schiedene Themengebiete, die einem „More-than-Moore“-Ansatz
are following a “More-than-Moore” approach. Specifically, the
folgen. Insbesondere zielt sie auf die modulare Erweiterung von
modular extension of SiGe BiCMOS technologies and the inte-
SiGe-BiCMOS-Technologien und die Integration neuer Technolo-
gration of new technology modules for more functionality are
giemodule für mehr Funktionalität.
targeted.
An n ual
R ep ort
2015
17
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Das Forschungsprogramm enthält als wesentliche Schwerpunkte
The research program contains the main priorities “New devices
„Neue Bauelemente und Technologien“, „Siliziumphotonik“ und
and technologies”, “Silicon Photonics”, and “Integration of
die „Integration multifunktionaler Bauelemente“.
multifunctional devices”.
Zum Gebiet „Neue Bauelemente und Technologien“ gehört ne-
The area “New devices and technologies” includes not only
ben der Weiterentwicklung der SiGe-BiCMOS-Technologien auch
the further development of the SiGe BiCMOS technologies, but
die Erforschung neuer Bauelementekonzepte und die damit
also the research for new device concepts and related process
verbundenen Prozessentwicklungen. Anwendungen im sub-THz-
developments. This is intended to address applications in the
und THz-Bereich sowie in strahlungsbelasteten Umgebungen
sub-THz and THz range as well as in radiation-contaminated
sind das Ziel. Die THz-Forschung als zentrales Thema soll die
environments such as aerospace and medicine. The THz re-
Leistungsgrenzen bei der Entwicklung des SiGe-Heterobipolar-
search as a central theme focuses on the evaluation of the per-
transistors (HBTs) evaluieren. Hier wird in laufenden Projekten
formance limits in the development of the SiGe heterojunction
(z. B. EU-Projekt „DOTSEVEN“, DFG-Projekte) an Entwicklungen
bipolar transistor (HBT). Currently running projects (EU project
von SiGe-BiCMOS-Technologien gearbeitet, die HBTs mit einer
“DOTSEVEN” and DFG projects) are working on the development
Grenzfrequenz von 700 GHz zum Ziel haben. Erstmals wurden so
of SiGe BiCMOS technology including HBTs with a cut-off fre-
auf Basis der IHP-Technologie SiGe-HBTs mit maximalen Grenz-
quency of 700 GHz. Based on IHP`s technology, SiGe HBTs with
frequenzen über 0,5 THz demonstriert (fmax = 570 GHz).
maximum cut-off frequencies above 0.5 THz (fmax = 570 GHz)
were demonstrated for the first time.
Zudem gelang es durch Weiterentwicklungen und Optimierungen
Moreover, it was achieved by upgrading and optimizing the
der Prozesse des Bipolarmoduls das vertikale Dotierungsprofil
processes of the bipolar module to improve the vertical doping
des SiGe-HBTs derart zu verbessern, dass Transistoren mit maxi-
profile of the SiGe HBTs such that transistors with maximum
malen Transitfrequenzen von fT = 430 GHz demonstriert werden
transit frequency of fT = 430 GHz could be demonstrated. This
konnten. Unterstützt werden diese experimentellen Arbeiten
experimental work is supported by detailed simulation studies.
durch detaillierte Simulationsstudien. Durch eine gute und enge
A mixed technology platform that enables the integration of
Kooperation mit Partnern wie Infineon konnte erstmalig eine
high-performance SiGe HBTs of IHP with their cut-off frequen-
mixed-Technologieplattform realisiert werden, die auf Basis der
cies of 500 GHz was demonstrated by a good and close coope-
industriell genutzten CMOS-Technologie von Infineon die Inte-
ration with partners such as Infineon. It is based on Infineon’s
gration der hochleistungsfähigen SiGe-HBTs des IHP mit Grenz-
industrial CMOS Technology.
frequenzen von 500 GHz ermöglicht.
Im Bereich der Bauelemente- und Technologieentwicklung für
In the area of device and technology development for radia-
strahlungsharte Anwendungen wurden weitere Fortschritte ge-
tion-hard applications more progress has been made. New con-
macht. Neue Konzepte wie der JIC-LDMOS im Bereich der Hoch-
cepts such as the JIC LDMOS in the field of RF LDMOS transistors
frequenz-LDMOS-Transistoren zeigen deutlich verbesserte Stabi-
exhibit significantly improved stability against the influence of
litäten gegenüber dem Einfluss von Strahlung und ermöglichen
radiation, thus enabling their application in the medical field
so deren Anwendung z. B. im medizinischen Bereich und in der
and in space. This application area aims at the evaluation of re-
Raumfahrt. Auf diesen Anwendungsbereich zielt auch die Evalu-
sistive hafnium memory cells (so-called RRAMS) that is carried
ierung widerstandsbasierter Hafniumdioxid-Speicherzellen (sog.
out e.g. in the project R2RAM. Based on the implemented cells
RRAMs) ab, wie sie z. B. im Projekt R2RAM durchgeführt wird. Auf
in the design kit of IHP, first 1-Mbit arrays in a selected BiCMOS
Basis von implementierten Zellen im Design Kit des IHP konnten
technology were demonstrated.
erste 1-Mbit-Arrays in einer ausgewählten BiCMOS-Technologie
demonstriert werden.
Annua l
18
Re po r t
2015
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Das Forschungsgebiet „Siliziumphotonik“ behandelt die Ent-
The research area “Silicon Photonics” deals with the develop-
wicklung von optischen und elektro-optischen Bauelementen
ment of optical and electro-optical devices based on Group
auf Basis von Gruppe-IV-Elementen wie Silizium und Germani-
IV elements such as silicon and germanium for applications
um für Anwendungen in der Kommunikation und Sensorik. Einen
in communication and sensor technology. One focus is the
Schwerpunkt bildet dabei die Integration optischer Komponen-
integration of optical components in the SiGe BiCMOS tech-
ten in die SiGe-BiCMOS-Technologien des IHP. Der Ansatz ermög-
nologies of IHP. The approach allows the realization of opto-
licht die Realisierung von opto-elektronischen Schaltkreisen mit
electronic circuits with very good high-frequency characteri-
sehr guten Hochfrequenzeigenschaften, vor allem hohen Band-
stics, especially high-bandwidth, and therefore allows powerful
breiten, und erlaubt damit neue, leistungsstarke Anwendungen
new applications in communications technology. The newly
in der Kommunikationstechnologie. Die hier neu entwickelten
developed ePIC technologies (Electronic-Photonic Integrated
ePIC-Technologien (Electronic-Photonic Integrated Circuits)
Circuits) have been implemented in the first electro-optical
wurden bereits in erste elektro-optische Demonstratoren um-
demonstrators. Record bandwidths of > 70 GHz were shown,
gesetzt. Durch die Weiterentwicklung einzelner Komponenten,
making, in conjunction with the fast HBTs of IHP technologies,
wie z. B. schnellen und effizienten Germanium-Photodetektoren,
new systems for transmission rates with > 100 Gbps possible.
konnten Rekordbandbreiten von >70 GHz gezeigt werden, die in
The development of components such as e.g. fast and efficient
Verbindung mit den schnellen IHP-HBTs neue Systeme für Über-
germanium photodetectors made it possible.
tragungsraten mit >100 Gbps möglich machen.
Große EU-Projekte wie MERMIG und RF2THz konnten erfolgreich
Major EU projects such as “MERMIG” and “RF2THz” were suc-
abgeschlossen werden. Mit dem vom BMBF geförderten Projekt
cessfully completed. With the BMBF funded project “SPEED” a
SPEED wurde ein neues Verbundprojekt gestartet, welches die
new joint project was launched, which aims to realize a silicon-
Realisierung einer siliziumbasierten Plattform für anwendungs-
based platform for application-specific electronic-photonic in-
spezifische elektronisch-photonische integrierte Schaltkreise
tegrated circuits. In the project 400 Gbps transceiver modules
zum Ziel hat. Im Rahmen des Projektes sollen so 400-Gbps-Tran-
are to be manufactured, which can be mounted directly on cir-
sceivermodule hergestellt werden, die direkt auf Leiterplatten
cuit boards of network devices. In addition, with the EU-funded
von Netzwerkgeräten montiert werden können. Außerdem wurde
project “DIMENSION”, a new integration project started toge-
mit dem von der EU geförderten Projekt „DIMENSION“ ein neues
ther with partners such as IBM, TU Dresden and SICOYA. Goal of
Integrationsprojekt begonnen, das zusammen mit Partnern wie
the project is a photonic SiGe BiCMOS technology platform with
IBM, TU Dresden und SICOYA eine photonische SiGe-BiCMOS-
integrated and directly modulatable III-V lasers.
Technologieplattform mit integrierten und direkt modulierbaren
III-V-Lasern zum Ziel hat.
An n ual
R ep ort
2015
19
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Um das Anwendungsspektrum der IHP-Technologien deutlich zu
To clearly extend the application range of the IHP technologies,
erweitern, z. B. bei der Sensorik oder dem Imaging, widmet sich
e.g. in sensor technology or imaging, the research topic “Inte-
der Forschungsschwerpunkt „Integration multifunktionaler Bau-
gration of multifunctional devices” works on the development
elemente“ der Entwicklung von Bauelementen mit neuen Funk-
of devices with new functionalities based on existing techno-
tionalitäten auf Basis existierender Technologieplattformen. Die
logy. Work on MEMS modules and heterointegration concepts
Arbeiten an MEMS-Modulen und Heterointegrationskonzepten
are currently the main issues. The EU project “NANOTEC” was
sind dabei derzeit die Hauptthemen. Das EU-Projekt „NANOTEC“
successfully completed. In the field of MEMS, processes and
wurde erfolgreich abgeschlossen und im Bereich der MEMS-Ent-
technologies have been developed that allow hermetic sealing
wicklung Prozesse und Technologieabläufe entwickelt, die das
of exposed MEMS devices during wafer processing. In addition,
hermetische Verkapseln von freigelegten MEMS-Bauelementen
modules such as local backside etch (LBE) and through-silicon
während der Waferprozessierung erlauben. Außerdem sind die in
vias (TSVs), which have been developed in recent years, were
den vergangenen Jahren entwickelten Module, wie local backside
integrated in technology processes of the 0.25-micron BiCMOS
etch (LBE) und Through-Silicon-Vias (TSVs), in Technologieab-
family. In the newly started project “FAST Imaging” among
läufe der 0,25-µm-BiCMOS-Familie integriert worden. Im neu be-
others TSVs will be integrated into the 130 nm technologies of
gonnenen Projekt „FAST-Imaging“ werden unter anderem TSVs in
IHP. In the future, these approaches will be used for further
die 130-nm-Technologien integriert. Zukünftig sollen diese Ansät-
new developments. For this purpose, the new “NanoLab” was
ze für weitere Neuentwicklungen genutzt werden. Zu diesem Zweck
put into operation at the IHP. With the related technical pos-
wurde das neue „NanoLab“ am IHP in Betrieb genommen. Mit den
sibilities, such as the exact thinning of wafers as well as tem-
damit verbundenen technischen Möglichkeiten, wie dem gezielten
porary and permanent wafer bonding, new applications are to
Abdünnen von Wafern sowie temporärem und permanentem Wa-
be addressed. Working under cleanroom conditions enable fur-
ferbonding, sollen neue Anwendungsfelder adressiert werden. Mit
ther processing of wafers in the pilot line after bonding.
dem unter Reinraumbedingungen arbeitenden Labor wird es so
zum Beispiel möglich, bearbeitete Wafer nach dem Verbinden auch
in der Pilotlinie weiter zu prozessieren.
Im Rahmen der SAW-Förderung der Leibniz-Gemeinschaft wurde das
As part of the SAW funding of the Leibniz Association, the
Projekt SciFAB erfolgreich abgeschlossen. Die gemeinsam mit dem
project SciFAB was successfully completed. Jointly developed
Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztech-
with the Ferdinand-Braun-Institut the SiGe BiCMOS InP Bipolar
nik entwickelte SiGe-BiCMOS-InP-Bipolar-Technologie (SG25InP1)
(SG25InP1) technology, including the necessary design envi-
inklusive der notwendigen Designumgebung wurde weiterentwi-
ronment, has been further developed to make it available to
ckelt, um sie in einem gemeinsamen Multi-Projekt-Wafer-Durchlauf
external partners in a joint multi-project wafer run.
externen Forschungspartnern zur Verfügung zu stellen.
Generell gilt es, die erreichten Forschungsergebnisse zeitnah
Generally, it is necessary to establish research results in a
in einer stabilen Prozessumgebung zu etablieren. Interne und
timely manner in a stable process environment. Internal and
externe Forschungs- und Industriepartner können so über den
external research and industry partners can use these results
Multi-Projekt-Wafer-Service diese Ergebnisse für innovative
for innovative circuit designs via Multi-Project-Wafer service.
Schaltungsentwürfe nutzen. In 2015 wurde die 0,13-µm-SiGe-
In 2015, the 0.13 micron SiGe BiCMOS technology (qualified
BiCMOS-Technologie (qualifiziert gemäß JEDEC-Standards) in
according to JEDEC standards) was added to the service of-
das Angebot mit aufgenommen. Dies ermöglicht nun neben der
fering. In addition to the development of prototypes this now
Entwicklung von Prototypen auch die Realisierung von leistungs-
makes it possible to realize high-performance, application-spe-
fähigen, anwendungsspezifischen integrierten Schaltkreisen
cific integrated circuits (ASICs) in low volume production. The
(ASICs) in Kleinserien. Der Zeitplan der technologischen Durch-
schedule of technological runs in the pilot line can be found on
läufe in der Pilotlinie ist auf der Homepage des IHP verfügbar.
IHP‘s website.
Annua l
20
Re po r t
2015
Materialien für die Mikro- und Nanoelektronik | Materials for Micro- and Nanoelectronics
Dr. Grzegorz Lupina (vorn) und Dr. Mindaugas Lukosius arbeiten an der CVD (Chemical Vapor Deposition)-Anlage.
Darin wird Graphen im Vakuum aufgewachsen.
Dr. Grzegorz Lupina (front) and Dr. Mindaugas Lukosius work with a CVD (Chemical Vapor Deposition) chamber.
Therein graphene is grown in vacuum.
Im Forschungsprogramm „Materialien für die Mikro- und Nanoe-
The focus of the research program “Materials for Micro- and
lektronik“ wird zur längerfristigen Sicherung der technologischen
Nanoelectronics” is to secure the institute’s long term technol-
Innovationskraft des Institutes an der Grundlagenphysik moder-
ogical innovation power by working on basic research concepts
ner Materialansätze bis hin zur Integration neuer funktioneller
of modern materials approaches as well as on the integration of
Modulkonzepte in moderne Silizium-BiCMOS-Technologien gear-
new functional modules in modern silicon BiCMOS technologies.
beitet.
An n ual
R ep ort
2015
21
Das IHP kann im Rahmen des vertikalen Institutsansatzes weit
IHP´s Materials Research Department has the unique opportu-
über die Möglichkeiten universitärer Gruppen hinausgehen und
nity to move far beyond the capabilities of classical University
insbesondere durch die Modulansätze auch statistische Aussa-
groups by using IHP´s vertical approach; namely, the integra-
gen zu neuen Bauteilkonzepten in die weltweite, akademische
tion of test modules which allows for adding statistical infor-
und technologische Diskussion einzubringen. Von besonderer
mation to the worldwide academic – technological discussion
Bedeutung sind hierbei „More-than-Moore“-Materialansätze für
with respect to the evaluation of innovative materials and mo-
die Gebiete künftiger Terahertz-, Photonik- und Biomedizin-An-
dule concepts. Of particular importance at IHP are “More than
wendungen.
Moore” material approaches in the fields of future terahertz,
photonic, and biomedical applications.
Eine weitere wesentliche Aktivität in diesem Forschungsprogramm
A further, central activity is the collaboration with industry.
ist die intensive Zusammenarbeit mit der Industrie über diverse
The department intensively interacts by public funded projects
Projekte bzw. bilaterale Verträge für Forschung und Entwicklung
or bi-lateral research contracts with small and medium sized
sowohl mit mittelständischen (LayTec, Sentech etc.) als auch groß-
(LayTech, Sentech etc.) as well as with large (Siltronic, Bosch,
en Unternehmen (Siltronic, Bosch, Infineon, Osram etc.).
Infineon, Osram etc.) companies.
Das Forschungsprogramm arbeitet in drei Arbeitsgruppen:
The research program is working in three groups:
Die Arbeitsgruppe „Erkundende Materialforschung“ positioniert
The “Exploratory Materials Research” positions itself in the
sich auf dem Gebiet der Graphenforschung für die „More-than-
field of graphene research for “More than Moore” Si microelec-
Moore“-Si-Mikroelektronik an der Schnittstelle zwischen univer-
tronics at the interface between basic research at universities
sitärer Grundlagen- und der Testmodulforschung für künftige in-
and test module preparation for industry applications. The
dustrielle Prototypen. Die zentrale Basis hierzu bildet die in der
central basis for this activity is the newly established Aixtron
IHP-Pilotlinie neu installierte Aixtron „Black Magic“-Anlage, mit
“Black Magic” graphene 8” chemical vapor deposition (CVD)
deren Hilfe die Graphensynthese auf einer 8“-Si-Waferumgebung
tool in IHP´s cleanroom. To achieve this goal, the department
ermöglicht wird. Zur Erreichung dieses Ziels konnte erfolgreich
successfully acquired a European M-ERANET project with Euro-
ein M-ERANET-Projekt mit europäischen Partnern (darunter
pean partners (e.g. ITME in Warsaw) which focuses on evalu-
ITME Warschau in Polen) eingeworben werden, dessen Ziel die
ating the Graphene-Base-Transistor (GBT) concept – patented
Bewertung des vom IHP patentierten Graphen-Basis-Transistor
by IHP – for THz applications. Furthermore, to strengthen the
(GBT)-Konzepts für Hochfrequenzapplikationen im Terahertz-
GBT high frequency activity, a further DFG project was acquired
bereich ist. Ferner konnte zur Verstärkung dieser GBT-Hochfre-
within the DFG priority program “High Frequency Flexible Bend-
quenzaktivitäten ein weiteres Forschungsprojekt im Rahmen des
able Electronics for Wireless Communication Systems (FFlex-
DFG-Schwerpunktprogramms „High Frequency Flexible Bendable
Com)“ whose goal is the development of smart communication
Electronics for Wireless Communication Systems“ (FFlexCom)
systems with bendable character and thus integration capabi-
eingeworben werden, dessen Zielsetzung smarte Kommunikati-
lity in clothing. In addition, in the field of graphene materials
onssysteme bilden, die aufgrund ihrer Dehnbarkeit beispielswei-
basic research, IHP focuses on an atomistic understanding of
se mühelos in Kleidung integriert werden können. Im Bereich
graphene synthesis on Ge surfaces as a metal – free Si CMOS
der Grundlagenforschung steht das atomare Verständnis der
compatible source (e.g. Alexander von Humboldt Feodor Lynen
Graphensynthese auf germaniumbasierten Halbleiteroberflächen
Fellowship of Dr. Inga Sabine Ulusoy) as well as on “proof of
als metallfreie, Si-CMOS-kompatible Quelle (z. B. Alexander von
principle” concepts for plasmonic biomolecule sensing (e.g.
Humboldt Feodor-Lynen-Fellowship von Frau Dr. Inga Sabine
Humboldt research award for Prof. Ya-Hong Xie from the Uni-
Ulusoy) sowie die Demonstration innovativer Graphenplasmonik-
versity of California Los Angeles (UCLA)) hosted by IHP.
Bauteile für die Biomolekülsensorik (z. B. Humboldt Forschungspreis für Prof. Ya-Hong Xie von der University of California Los
Angeles) im Vordergrund.
Annua l
22
Re po r t
2015
Die Arbeitsgruppe „Front End of Line“ (FEOL) fokussiert ihre
The research in the “front-end of line” (FEOL) group focuses
Aktivitäten auf fortgeschrittene Epitaxie- und Heteroepitaxie-
on advanced epitaxy and heteroepitaxy approaches on Si sub-
Ansätze auf Silizium-Substraten, wobei insbesondere maßge-
strates. Strain engineering approaches on the micro- and na-
schneiderte Verspannungseffekte auf der Mikro- und Nano-
no-scale are of importance to improve materials properties for
Skala zur Verbesserung der Materialqualität bzw. für innovative
innovative device concepts beyond the state-of-the-art. The
Bauteilkonzepte im Zentrum der Aufmerksamkeit stehen. Die
group is responsible for acquiring about 40 % of the total fun-
Gruppe wirbt zirka 40 Prozent der Drittmittel der Abteilung
ding of the department by bi-lateral research contracts with in-
über direkte bilaterale Industrieverträge ein, wobei sowohl
dustry where Si wafer products for future CMOS markets as well
künftige Siliziumwaferprodukte für fortgeschrittene Logiktech-
as virtual Gallium nitride wafer products for high pow-er / high
nologien als auch virtuelle Galliumnitridwafer auf Silizium für
frequency markets are targeted. The industry partners appre-
Hochleistungs- / Hochfrequenzmärkte erforscht werden. Die
ciate, besides the expert knowledge of IHP scientists, access to
Industriepartner schätzen neben dem Expertenwissen der IHP-
state-of-the-art metrology capabilities: The group is world lea-
Wissenschaftlerinnen und -Wissenschaftler insbesondere die
ding on high sensitive infrared spectroscopy to detect oxygen
Möglichkeit, auf neuartige Metrologiemethoden zurückzugrei-
precipitates in Si crystals and is doing pioneer work together
fen. Die Gruppe ist hierbei weltweit führend auf dem Gebiet der
with the European Synchrotron Research Facility (ESRF) on the
hochsensitiven Infrarotspektroskopie für die Sauerstoffpräzipi-
development of X-ray diffraction microscopy techniques with
tat-Detektion in Siliziumkristallen und leistet im Rahmen einer
sub-300 nm resolution on 300 mm strained Ge on Si(001) wa-
Zusammenarbeit mit der Europäischen Synchrotronforschungs-
fers. A project of high importance for the further development
quelle in Grenoble (Frankreich) Pionierarbeit auf dem Gebiet der
of IHP´s technology platform is the research activity on tensile
Röntgenmikroskopie mit sub-300-nm-Ortsauflösung für 300 mm
strained Ge which is worldwide intensively investigated as a po-
Germanium auf Siliziumwafer-Produkten. Zugverspannte Germa-
tential active emitter material for a monolithically integrated,
nium-Mikrobrücken als Silizium-CMOS-kompatible Laserquellen
Si CMOS compatible laser source. In close collaboration with
werden darüber hinaus in der Gruppe intensiv für elektronisch-
the Leibniz institute WIAS (Weierstraß Institute for Applied
photonische Anwendungen untersucht. Gemeinsam mit dem
Analysis and Stochastics) and leading institution of the Leibniz
Weierstraß-Institut für Angewandte Analysis und Stochastik,
network „Mathematical Simulation”, the group published
ebenfalls Leibniz-Institut und Leiter des Leibniz-Netzwerks „Ma-
in 2015 in the „IEEE Photonics Journal“ a world leading paper
thematische Simulation“, konnte eine Arbeit zur komplexen 2D-
on the complex 2D simulation of electronic-photonic key pro-
Simulation der Lasereigenschaften dieses Bauteilansatzes auf
perties to achieve lasing in tensile strained, Ge micro-bridge
Basis elektronischer und photonischer Materialeigenschaften im
based edge emitting lasers. This work characterizes the current
Jahre 2015 in der Zeitschrift „IEEE Photonics Journal“ veröffent-
state-of-the-art in the field and will be of great help for design-
licht werden, die den weltweiten Wissensstand auf diesem Gebiet
ing appropriate tensile strained Ge laser diodes with respect
präsentiert.
to materials quality (e.g. carrier life times) needed as well as
engineering doping and strain parameters.
An n ual
R ep ort
2015
23
Die Arbeitsgruppe „Back End of Line“ (BEOL) befasst sich mit
The research group “back end of line (BEOL)” focuses its activ-
universellen Speichern auf der Basis widerstandsbasierter
ity on universal memory approaches based on resistive swit-
Hafniumdioxid-Zellen, die als sogenannte eingebettete RRAM-
ching HfO2 cells which show a high potential for embedded
Technologien ein hohes Potential für die Realisierung ener-
memory modules to achieve energy efficient, wireless sensor
gieeffizienter, drahtloser Sensorknoten aufweisen. Die Spei-
networks. The memory function is based on the change of the
cherfunktion beruht auf einer Veränderung des Widerstandes
resistance of HfO2 metal – insulator – metal cells in response
einer Hafniumdioxid-basierten Metall-Isolator-Metall-Struktur
to electrical trigger pulses. The physical origin of the resistive
als Funktion elektrischer Schaltimpulse. Die physikalische Ur-
switching effect in oxides is based on the point defect dynamics
sache dieser widerstandsbasierten Speicher beruht hierbei auf
of oxygen vacancies at the metal / insulator interface which on
der Punktdefektdynamik (insbesondere Sauerstoffvakanzen) an
the one hand requires special control of the materials proper-
der Metall / Isolatorgrenzfläche, was einerseits besondere Anfor-
ties to achieve high performance endurance / retention proper-
derungen an die Materialkontrolle für die geforderte Zuverlässig-
ties but offers on the other hand, in contrast to conventional,
keit und Stabilität der Bauteile stellt, andererseits im Vergleich
charge-based FLASH technologies, key advantages e.g. with
zu klassischen Flash-Speicheransätzen auf Ladungsträgerbasis
respect to radiation hard applications. The BEOL group thus
wesentliche Vorteile für strahlungsharte Speicher bietet. Die
intensively collaborates – together with IHP Technology and
BEOL-Gruppe arbeitet somit in enger Zusammenarbeit mit den
System department – within the frame of an ENIAC project with
anderen Forschungsprogrammen des Institutes im Rahmen eines
European (e.g. ST Microelectronics) and national (e.g. Bosch)
ENIAC-Projektes mit europäischen (insbesondere STMicroelec-
industry partners to stabilize RRAM memory technologies for
tronics) und nationalen (insbesondere Bosch) Industriepartnern
various end applications (e.g. automotive sector). Furthermore,
an Technologieansätzen für stabile, eingebettete RRAM-Module.
within the EU Horizon 2020 activity, an additional project was
Die Erforschung strahlungsharter RRAM-Technologien erfolgt
acquired to test the radiation hardness capability of RRAM tech-
darüber hinaus im Rahmen eines EU-Horizon-2020-Projektes
nology. These technology – based activities are complemented
mit einer Vielzahl an europäischen Partnern. Diese technolo-
by basic materials research activities in IHP Materials Research
giebasierten Ansätze werden ergänzt durch ein DFG-Projekt mit
Department to improve the switching properties of HfO2 based
der TU Darmstadt sowie ein Alexander von Humboldt PostDoc-
dielectrics by e.g. nano-guided filament approaches. A DFG
Fellowship von Dr. Pauline Calka, um die Schalteigenschaften von
project with TU Darmstadt and an Alexander von Humboldt
HfO2-basierten RRAM-Zellen zum Beispiel durch „nano-guided
Postdoc Fellowship for Dr. Pauline Calka were acquired.
filament“-Ansätze zu optimieren.
Die Arbeiten im Joint Lab mit der Poznań University of Technolo-
Research topics in the Joint Lab with Poznań University of
gy (PUT) fokussieren sich auf die Grenzflächenforschung. Dabei
Technology (PUT) in Poland are given by the need to control
sollen Fragen der Kontrolle von Heterostrukturen auf Materiale-
complex interfaces. Here, control over interfaces of material
bene (z. B. Metall / Ge-Kontaktierungen) im Fokus stehen, aber
hetero-systems (e.g. metal contacts to Ge laser devices) are in
auch die Verbindung innovativer Funktionalitäten in komple-
the focus, but this point of view can also be extended to inter-
xen Systemen durch neue Materialien (u.a. Metamaterialien für
face innovative functions to complex systems on the basis of
THz-Applikationen). Hierbei ist die Ausbildung von Studenten
new materials (e.g. the use of metamaterials for THz applica-
eine wesentliche Aktivität; in 2015 kamen 15 PUT-Studenten
tions). In 2015, more than 15 PUT students joined IHP for more
über ERASMUS ins Institut, eine gemeinsame Doktorarbeit zwi-
than a month’s stay on the basis of ERASMUS funded exchange
schen IHP und PUT wurde erfolgreich abgeschlossen.
programs and a co-supervised PhD thesis was successfully defended.
Annua l
24
Re po r t
2015
F o rsc h u n g
d es
I H P
–
I H P ‘ s
R ese a rc h
Projektarbeit und Drittmittel in 2015
Project Work and Third-Party Funds 2015
Ein Zeichen für das hohe Ansehen und den Erfolg des Institutes
An indication of the high reputation and the success of the
sowie die Relevanz der am IHP bearbeiteten Forschungsthemen
institute as well as the relevance of IHP’s research topics are
sind die mehr als 270 erschienenen Publikationen und mehr
the more than 270 publications and more than 60 invited talks
als 60 eingeladenen Vorträge, die im Jahresbericht ab Seite
that are listed in the annual report from page 73. Moreover, in
73 aufgelistet sind. Zudem ist es in 2015 erneut gelungen, die
2015 IHP succeeded again in increasing the already very high
bereits in den Vorjahren sehr hohen Drittmitteleinnahmen auf
third-party funds to a total of 14.9 million euros. Of the total
insgesamt 14,9 Mio. Euro zu steigern. Vom gesamten Drittmit-
third-party funds about 48 % come from direct contracts with
telvolumen stammen ca. 48 % aus direkten Verträgen mit der
the industry and about 52 % from publicly funded research pro-
Industrie und ca. 52 % aus öffentlich geförderten Forschungs-
jects, in particular by the Federal Ministry of Education and Re-
projekten, insbesondere durch das Bundesministerium für
search (BMBF), the 7th Research Framework Programme and the
Bildung und Forschung (BMBF), das 7. Forschungsrahmenpro-
research program Horizon 2020 of the European Union (EU) and
gramm sowie das Forschungsprogramm Horizont 2020 der Eu-
projects of the State of Brandenburg.
ropäischen Union (EU) und Projekte des Landes Brandenburg.
Im Berichtsjahr konnten drei weitere EU-Projekte aus dem For-
During the year three additional EU projects from the research
schungsprogramm Horizont 2020 eingeworben werden, von de-
program Horizon 2020 were raised, of which two commenced
nen zwei in 2015 und eines in 2016 gestartet wurden. Aktuell
in 2015 and one in 2016. Currently 15 EU projects run at IHP,
laufen 15 EU-Projekte am IHP, vier davon werden vom Institut
four of which are coordinated by the institute. Overall, the
koordiniert. Insgesamt konnte das IHP damit 32 Projekte mit
IHP was able to acquire 32 projects with a total grant of EUR
einer Zuwendung in Höhe von 20,8 Mio. Euro im Rahmen des
20.8 million in the 7th Research Framework Programme and the
7. Forschungsrahmenprogramms und Forschungsprogramms
research program Horizon 2020 and thus the institute has a
Horizont 2020 akquirieren und nimmt so eine Spitzenstellung
leading position within the Leibniz Association.
innerhalb der Leibniz-Gemeinschaft ein.
Im Bereich der Grundlagenforschung baute das IHP in 2015
In the field of basic research, the IHP extended its activities in
seine Aktivitäten aus. Die Anzahl der von der Deutschen For-
2015. The number of the projects funded by the Deutsche For-
schungsgemeinschaft (DFG) geförderten Projekte stieg auf 18,
schungsgemeinschaft (DFG) rose to 18, including 11 projects
davon 11 über Universitäten und 7 direkt über das IHP. Zudem
via universities and 7 directly via the IHP. In addition, the IHP
koordiniert das IHP das DFG-Schwerpunktprogramm „Wireless
coordinates the DFG priority program “Wireless 100 Gigabit / s
100 Gigabit / s and beyond“.
and beyond”.
An n ual
R ep ort
2015
25
Update 2015
Annua l
26
Re po r t
2015
Das
Jah r
2 0 1 5
–
Up date
2 0 1 5
Nachwuchsförderung
Training of young professionals
Um das Interesse junger Menschen für Naturwissenschaften zu
To interest young people in science, IHP has been promoting
wecken, fördert das IHP gemeinsam mit dem Verein „Freunde des
pupils for several years. IHP is supported by the association
IHP e. V.“, der auch den Forschungspreis vergibt, seit mehreren
“Friends of the IHP e. V.” which also awards the research prize
Jahren Schülerinnen und Schüler. Die enge Kooperation mit der
each year. The close cooperation with the mathematical and
mathematisch-naturwissenschaftlich-technischen Spezialschu-
scientific-technical special school “Carl-Friedrich-Gauß-Gym-
le „Carl-Friedrich-Gauß-Gymnasium“ wurde 2015 durch Unter-
nasium” was reaffirmed by the signing of the extended con-
zeichnung des verlängerten Vertrages untermauert. Gleichzeitig
tract in 2015. At the same time, the project “hydrogen use for
startete das Projekt „Wasserstoffnutzung für den öffentlichen
public and individual transport in Frankfurt (Oder)” started. It
und individuellen Verkehr in Frankfurt (Oder)“, welches sich mit
deals with CO2 neutral transport, allowing young people to think
CO2-neutralem Verkehr beschäftigt. Die Jugendlichen setzen sich
about environmental issues, driving concepts for electromobi-
mit Umweltaspekten, Antriebskonzepten für Elektromobilität, Si-
lity, security issues, but also about the public acceptance and
cherheitsfragen, aber auch mit der Akzeptanz in der Bevölkerung
economic aspects in order to develop a hydrogen-based mobil-
sowie wirtschaftlichen Aspekten auseinander, um ein wasser-
ity concept for Frankfurt (Oder). The local partner is the „Frank-
stoffbasiertes Mobilitätskonzept für Frankfurt (Oder) zu erarbei-
furter Stadtverkehrsgesellschaft” (SVF), which is responsible for
ten. Lokaler Projektpartner ist die Frankfurter Stadtverkehrsge-
the local public transport and supports the project through its
sellschaft (SVF), die durch ihre jahrelange Erfahrung beim Betrieb
years of experience in the operation of buses which run with
von Erdgasbussen die Jugendlichen mit Fachwissen unterstützt.
natural gas.
Beim jährlichen Zukunftstag für Mädchen und Jungen in Bran-
Youngsters get to now IHP with its typical jobs in the field of re-
denburg lernen Jugendliche das IHP mit seinen typischen Be-
search and its apprenticeships during the annual Future Day for
rufsbildern, sowohl im Ausbildungsbereich als auch im wissen-
girls and boys in the state of Brandenburg. More than 20 pupils
schaftlichen Bereich, kennen. Mehr als 20 Jugendliche nutzten
took advantage of this opportunity to get in contact in 2015. In
diese Chance der Kontaktaufnahme in 2015. Zudem kommen
addition, each year groups from schools and other visitors come
jährlich Schulgruppen und Besucher, um die Themenbereiche
to learn about the topics and the infrastructure of the institute.
und die Infrastruktur des Instituts kennenzulernen.
Die Ausbildung von jungen Menschen in der Region ist ein wich-
Apprenticeships for young people in the region are an impor-
tiger Schwerpunkt für uns. Dabei setzen wir im Tagesgeschäft
tant focus of IHP. In the daily business and during project work
und in der Projektarbeit auf individuelle Betreuung und Ein-
we pay attention to individual care and to make it possible for
blicke in unterschiedliche Bereiche eines Forschungsinstituts,
them to get an idea of the different areas of a research insti-
um zu vermitteln, worauf es im späteren Beruf ankommt. Von der
tute. Thus, apprentices learn what is important for their future
IHK Ostbrandenburg wird das IHP regelmäßig als „ausgezeichne-
careers. The Chamber of Industry and Commerce for East Bran-
ter Ausbildungsbetrieb“ geehrt, so auch in 2015. Zudem freuten
denburg (IHK Ostbrandenburg) honored IHP again as an “excel-
wir uns über die Anerkennung in Form des Brandenburgischen
lent training company”. In addition, we were pleased to receive
Ausbildungspreises. Bei der Verleihung im Brandenburg-Saal
recognition in form of the Brandenburg Training Award. At the
der Staatskanzlei übernahm IHK-Präsident Dr. Ulrich Müller die
ceremony in the Brandenburg-hall Dr. Ulrich Müller, president
Laudatio und übergab zusammen mit Diana Golze, Arbeits- und
of IHK Ostbrandenburg, and Diana Golze, Minister for Labor and
Sozialministerin des Landes Brandenburg, den Preis.
Social Affairs of the state of Brandenburg, awarded the prize.
An n ual
R ep ort
2015
27
Das
Jahr
2 0 1 5
–
U p date
2 0 1 5
Die internationale Sommerschule fand 2015 in Kooperation mit
The International Summer School took place in 2015 in coopera-
der European Microwave Association (EuMA) statt. Die „11 In-
tion with the European Microwave Association (EuMA). The
ternational Summer School on RF MEMS and RF Microsystems“
“11th International Summer School on RF MEMS and RF Micro-
brachte Studierende aus mehr als zehn Ländern sowie interna-
systems” brought together students from more than ten coun-
tional anerkannte Wissenschaftlerinnen und Wissenschaftler als
tries and internationally recognized scientists as speakers to
Vortragende ans IHP.
the IHP.
th
Ausgezeichnet: Ministerin Diana Golze (links) und IHK-Präsident Dr. Ulrich Müller (rechts) übergaben den Brandenburger Ausbildungspreis 2016
an die Vertreter des IHP.
Awarded: Minister Diana Golze (left) and the president of IHK Ostbrandenburg, Dr. Ulrich Müller (right), awarded the Brandenburg Training Award
2015.
© MASGF
Auszeichnungen
Awards
2015 wurden mehrere Nachwuchswissenschaftler des Instituts
In 2015, several young scientists of the Institute were hon-
geehrt. Stefan Lischke erhielt im August 2015 den „Best Paper
ored. Stefan Lischke received the “Best Paper Award” in August
Award“ des „Bipolar/BiCMOS Circuits and Technology Meeting
for “Bipolar/BiCMOS Circuits and Technology Meeting (BCTM)
(BCTM) 2014“. Den „Young Scientist Award“ des International
2014”. The “Young Scientist Award” of the International Sym-
Symposium on Signals, Systems and Electronics (ISSSE) erhielt
posium on Signals, Systems and Electronics (ISSSE) was pres-
im Mai 2015 Lukasz Lopacinski. Dr. Marvin Zöllner kam im No-
ented to Lukasz Lopacinski in May. In November, Dr. Marvin
vember bis ins Finale des Postdoc-Preises des Landes Branden-
Zöllner reached the finals of the Postdoctoral Prize of Bran-
burg in der Kategorie Natur- und Ingenieurwissenschaften und
denburg in the category Natural Sciences and Engineering and
präsentierte seine Forschungsergebnisse aus der Synchrotron-
presented his research with the synchrotron X-ray microscopy
Röntgenmikroskopie der Jury.
to the jury.
Annua l
28
Re po r t
2015
Das
Jah r
2 0 1 5
–
Up date
2 0 1 5
Das Qualitätsmanagement des IHP wurde nach ISO 9001:2008
The quality management of IHP was recertified according to
erneut zertifiziert. Die Prüfer der Deutschen Gesellschaft zur
ISO 9001: 2008. The auditors of the German Society for the Cer-
Zertifizierung von Qualitätsmanagementsystemen (DQS) bestä-
tification of Quality Management Systems (DQS) certified our
tigen uns damit die Einhaltung höchster Qualitäts- und Sicher-
compliance with the highest quality and safety standards.
heitsansprüche.
In 2015 erhielt das IHP erneut das Prädikat „Ausgezeichnet fa-
“Excellent family friendly” is another rating IHP received in
milienfreundlich“, welches vom Bündnis für Familie Frankfurt
2015. It is awarded to companies by the Alliance for Fami-
(Oder) an Unternehmen vergeben wird. Die Vereinbarkeit von
ly Frankfurt (Oder). The reconciliation of work and family, for
Beruf und Familie, beispielsweise durch flexible Arbeitszeiten
example through flexible working hours and a newly estab-
und ein neu eingerichtetes Eltern-Kind-Zimmer, aber auch Ge-
lished parent-children-room, as well as health management and
sundheitsmanagement und Hilfe für ausländische Kolleginnen
assistance to foreign colleagues for integration are important
und Kollegen bei der Integration sind wichtige Punkte der Per-
points to fulfill for personnel promotion and well-being.
sonalförderung.
Ausgründung
Spin-off
2015 erfolgte die Ausgründung der IHP Solutions GmbH. Sie ist
In 2015, IHP Solutions GmbH was founded as a spin-off. It is
eine 100%ige Tochter des IHP. Die IHP Solutions GmbH agiert
a 100% subsidiary of IHP. The IHP Solutions GmbH is a com-
als kommerzielle, marktorientierte Schnittstelle für die Kunden
mercial, market-oriented interface for customers to IHP and its
zum IHP und dessen Forschungsergebnissen. Zu den Geschäfts-
research results. Among the activities are the transfer of results
tätigkeiten gehören der Transfer von Ergebnissen aus For-
from research and technology development and services for
schung und Technologieentwicklung sowie Dienstleistungen
the IHP, including patent management and start-up support.
für das IHP, darunter Patentmanagement und Gründungsunter-
In the field of „Industry Service“, the IHP Solutions GmbH is a
stützung. Über das Geschäftsfeld „Industrieservice“ ermöglicht
contractor for industrial customers and allows them to use the
es die IHP Solutions GmbH als Vertragspartner von Industrie-
manufacturing services of IHP pilot line.
kunden, die Fertigungsleistungen der IHP-Pilotlinie zu nutzen.
Gratulation: Markus Günther (Mitte) ist Geschäftsführer der
neugegründeten IHP Solutions GmbH.
Congratulations: Markus Günther (center) is the managing director
of the newly established IHP Solutions GmbH.
An n ual
R ep ort
2015
29
Das
Jahr
2 0 1 5
–
U p date
2 0 1 5
Veranstaltungen
Events
Regelmäßig finden am IHP Projektmeetings und wissenschaft-
Regular project meetings and scientific conferences take place
liche Tagungen statt. Aktuelle Themen werden in Institutskol-
at the institute. Current issues are discussed in Institute semi-
loquien erörtert. Populärwissenschaftliche Vorträge, organisiert
nars. Regular lectures, organized by the “Friends of the IHP e. V.”
vom Förderverein „Freunde des IHP e. V.“ vermitteln auch Laien
explain current research topics in a popular manner. 2015 was
aktuelle Forschungsthemen. So war im von der UN-Generalver-
proclaimed as the “International Year of Light and light-ba-
sammlung ausgerufenen „Internationalem Jahr des Lichts und
sed technologies” by the UN General Assembly. Therefore, Dr.
der lichtbasierten Technologien“ Dr. Martin Straßburg von der
Martin Strasbourg from OSRAM Opto Semiconductors GmbH was
OSRAM Opto Semiconductors GmbH zu Gast und erläuterte, wie
a guest at IHP and explained how LEDs and lasers put the world
LEDs und Laser die Welt in ein neues Licht setzen. In der zwei-
in new light. In the second half of the year, Prof. Fred Lisdat
ten Jahreshälfte folgte Prof. Dr. Fred Lisdat von der TH Wildau
from UAS Wildau followed the invitation and described the
der Einladung und schilderte die funktionelle Kombination von
functional combination of proteins with electrodes for applica-
Proteinen mit Elektroden für Anwendungen in der Sensorik und
tions in sensor technology and power engineering.
Energetik.
Die größte öffentliche Veranstaltung ist jährlich der „Tag der
The largest public event is the annual “Open Day”. Around 250
offenen Tür“. Rund 250 Besucherinnen und Besucher nutzten
visitors took the opportunity to look into the laboratories and
die Chance, einen Blick in die Labore zu werfen und sich zu ak-
to obtain information on current research topics. The “Friends
tuellen Forschungsthemen zu informieren. Erneut wurden an
of IHP e.V.” awarded their research prizes again for outstanding
diesem Tag vom Förderverein der Forschungspreis für Schülerar-
natural scientific school projects from the state Brandenburg,
beiten aus dem Land Brandenburg, der Nachwuchspreis für Ab-
the nationally advertised prizes for excellent bachelor, master
schlussarbeiten von Studierenden sowie der Doktorandenpreis
and diploma theses and a graduate student award for the best
für die beste am IHP verfasste Doktorarbeit vergeben.
doctoral thesis which was done at IHP.
Projekttreffen „e-balance“ am IHP im Februar 2015.
Project meeting “e-balance” in the IHP in February 2015.
Präsentation des IHP-Imagefilms am Tag der offenen Tür 2015.
Presentation of IHP film during our Open Day 2015.
Annua l
30
Re po r t
2015
Das
Jah r
2 0 1 5
–
Up date
2 0 1 5
„IHP-Weltsichten“ – “IHP World Views”
Unsere Kolleginnen und Kollegen sind weltweit unterwegs. Die
Ausstellung „IHP-Weltsichten“ wurde im Rahmen des „Tages
der offenen Tür“ im September eröffnet, 12 Bilder für 2016 in
einem Kalender arrangiert.
Our colleagues are traveling around the world. The exhibition
“IHP world views” was opened in September as part of the
“Open Day”. 12 pictures were arranged in a calendar for 2016.
Alexander Scheit
Kalender-Titelblatt
Dr. Markus Petri
Dr. Marco Lisker
David Stolarek Christina Behrendt
Katja Albani
Dr. Dirk Wolansky
Dr. Dawid Kot
Jürgen Berthold
Isabel Nitzke
Dieter Genschow
Stefan Lischke
An n ual
R ep ort
2015
31
Selected Projects
Annua l
32
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
UNIKOPS – Universell konfigurierbare Sicherheitslösung für Cyber-Physikalische Systeme
UNIKOPS – Universal Configurable Security
Solution for Cyber-physical Systems
In der Zukunft werden eingebettete Geräte und Systeme, die
Embedded devices and systems, which are closely coupled with
besonders eng mit der physikalischen Umgebung verbunden
the physical environment, will become more and more impor-
sind, immer wichtiger. Um solche Anwendungen abzusichern
tant in the near future. For the protection of these applications
sind spezielle Schutzmechanismen notwendig. Diese müssen
special security mechanisms are required. These must provide
Sicherheitsfunktionen bieten, welche für Hersteller bzw. An-
security functions which manufacturers and users can easily
wender einfach einsetzbar und konfigurierbar sind sowie be-
apply and configurate. In addition, energy, communication as
sonders energie-, kommunikations- und kosteneffizient sein.
well as cost efficiency must also be taken into account during
the design and implementation of the embedded systems.
UNIKOPS hat zum Ziel, universelle konfigurierbare Sicherheits-
The project UNIKOPS aims to tackle all these requirements by
lösungen für eingebettete Geräte und Systeme zu entwickeln.
providing a universal configurable security solution for embed-
Dabei werden unterschiedliche Anwendungen wie Smart Me-
ded systems. It addresses a broad variety of applications, e. g.
tering, das Internet der Dinge (IoT) und das Ambient Assisted
smart metering, internet of things (IoT) and ambient as-
Living (AAL) adressiert. Die zu entwickelnden Sicherheitslö-
sisted living (AAL). In addition, it takes energy efficiency and
sungen sollen gleichzeitig Anforderungen an Energieeffizienz
constaint resources into account. To guarantee system integ-
und Speicherbedarf genügen. Als Teilziele des Projektes zur
rity, on-demand function clearing, a trustworthy data fusion
Sicherstellung der Systemintegrität sollen u. a. ein System-
of monitored and transmitted data as well as the detection of
schutz, eine bedarfsweise Funktionsfreischaltung, die vertrau-
protocol attacks and data manipulations will be investigated
liche Datenfusion überwachter und übermittelter Daten, sowie
and implemented.
die Erkennung von Angriffen und Manipulationsversuchen entwickelt und umgesetzt werden.
Zur Sicherstellung der Systemintegrität von eingebetteten
IHP developed the intrinsic code attestation (ICA) method to
Systemen wurde vom IHP das Intrinsic-Code-Attestation (ICA)
ensure system integrity of embedded systems. A special hard-
-Verfahren entwickelt. Dieses erlaubt es mit Hilfe einer spezi-
ware unit allows only the execution of authenticated program
ellen Hardware-Einheit nur authentifizierten Programmcode
code (Fig. 1). After a successful secure code update the sensor
auszuführen (Abb. 1). Dazu wird nach einem erfolgreichen si-
node stores the encrypted code in the program memory.
cheren Code-Update der verschlüsselte Code im Programmtextspeicher abgelegt.
Bei der Verschlüsselung des Programmtextes wird sichergestellt,
When encrypting the program text it must be ensured that de-
dass Abhängigkeiten zwischen den Anweisungen in Form eines
pendencies between the instruction are created to ensure the
Chiper Block Chainings (CBC) entstehen. Diese Abhängigkeiten
unchanged sequence of their execution. To do so a cipher block
stellen sicher, dass einzelne Anweisungen nicht für Return
chaining (CBC) mode of the encryption algorithm is used. These
Oriented Programming (ROP)-Angriffe missbräuchlich genutzt
dependancies guarantee that individual instructions cannot
werden können. Zum Schutz des Programmtextes erfolgt die
be misused for return-oriented programming (ROP) attacks.
Entschlüsselung der Anweisung unmittelbar vor deren Ausfüh-
To protect the program text deciphering of the instructions
rung, d. h. die ICA-Einheit ist fest an den Befehls-Decoder des
is carried out immediately before their execution. In this way
Mikroprozessors gekoppelt. Eine Speicherung der Befehlsworte
the ICA unit is firmly coupled to the instruction decoder of the
im Klartext kann damit auf ein Mindestmaß reduziert werden.
microprocessor. Thus, storing the instruction words in plain
An n ual
R ep ort
2015
33
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Darüber hinaus ist das Ausführen von unverschlüsseltem Pro-
text is reduced to a minimum. In addition, the execution of un-
grammtext unmöglich, da die Entschlüsselung der einzelnen
encrypted program text is impossible, because the instruction
Anweisungen nicht umgangen werden kann. Das ICA-Verfahren
decoder cannot be bypassed. The ICA method was registered as
wurde als Patent angemeldet.
a patent.
Damit auch Manipulationen der Kommunikationswege sowie
Manipulation of the communication channels and data has
der Daten ermittelt werden können, wurde speziell für hetero-
been considered and a new security concept was developed. It
gene Systeme ein neuartiges Sicherheitskonzept entwickelt,
is especially designed for heterogeneous systems to provide in-
welches es ermöglicht, ein individuelles Sicherheitsniveau zu
dividual security levels. This system has been designed for easy
erreichen. Dieses System wurde so entworfen, dass es sich leicht
integration into current sensor network operating systems.
in aktuelle Sensornetz-Betriebssysteme integrieren lässt.
Die Grundidee des Systems ist ein leichtgewichtiger ereignisge-
The basic idea of the system is a lightweight event-driven
steuerter Bytecode-Interpreter, welcher mit dem Netzwerkstack
bytecode interpreter, which interacts with the network stack
interagiert (Abb. 2). Empfängt der Sensorknoten über seine
(Fig. 2). Every time, when a packet is passed to a new protocol
Netzwerkschnittstelle ein Paket, wird dieses von den einzelnen
layer, the packet is forwarded to the IDS interpreter. If a con-
Schichten des Netzwerkstacks verarbeitet. Nach jeder Schicht
tainer for this event is registered, the associated program code
erfolgt eine Übergabe des Pakets an den IDS-Interpreter. Ist
is executed. A container is a basic structure to handle program
ein Code-Block für dieses Event registriert, erfolgt dessen
code, data and registers. The containers can be used to monitor
Ausführung. Es können somit gezielt schichten-spezifische
or perform analysis on protocol data.
Analysen durchgeführt und statistische Daten erfasst werden.
Abb. 1: Entschlüsselungsprozess des ICA Befehls-Decoders.
Fig. 1: Decryption process of the ICA instruction decoder.
Annua l
34
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Auch eine schichtenübergreifende Datensammlung ist über ei-
Also, a cross-layer data collection is possible via shared memo-
nen gemeinsamen Speicher möglich. Durch die Einteilung des
ry. This partition of the security code into small parts can re-
Sicherheitscodes in unterschiedliche Code-Blöcke kann eine Re-
duce the amount of computation, because protocol-specific
duzierung des Rechenaufwandes erreicht werden, da protokoll-
conditions are processed by the network stack. If the packet is
spezifische Zustände von der eigentlichen Schicht bearbeitet
accepted by the IDS interpreter, the packet is forwarded to the
werden. Wird das Paket vom IDS-Interpreter akzeptiert, erfolgt
next layer. The IDS is transparent for the sensor node applica-
die Weiterleitung der Daten an die nächsthöhere Schicht. Für
tion. The only effect on the system is a small latency. An up-
die eigentliche Sensorapplikation ist das Intrusion Detection
date of the containers is realized by dummy application pack-
System, abgesehen von einer zeitlichen Latenz, transparent. Ein
ets. Such packets have a special pattern, which is recognized
Update der Code-Blöcke erfolgt über Dummy-Applikationspa-
by the interpreter. The payload of the packet contains the code
kete. Solche Pakete besitzen ein spezielles Muster, welches vom
update. For the implementation of the security algorithms an
Interpreter erkannt wird. Die Nutzdaten des Paketes enthalten
assembler was developed. This tool allows to design a security
das entsprechende Code-Update. Für die Erstellung des Byte-
algorithm in different code blocks.
codes wurde ein Assembler entwickelt, der es ermöglicht, eine
Implementierung der IDS Code-Blöcke vorzunehmen.
Abb. 2: Architektur des IDS-Interpreters und Integration in den Protokollstack.
Fig. 2: Architecture of the IDS interpreter and its integration into the protocol stack.
An n ual
R ep ort
2015
35
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
maximumMIMO: Mit höchster Effizienz an die
Grenze der möglichen Datenraten
maximumMIMO: Reaching the Limits of Data
Rate with Ultra-high Efficiency
Sowohl die Anzahl der Smartphones als auch die Datenrate pro
Both the number of smartphones and the required data rate per
Smartphone steigen nach wie vor rasant. Daher müssen die
smartphone are drastically increasing. Therefore, the transport
Transport-Netzwerke für zukünftige 5G-Systeme, die sogenann-
networks used for 5G radio access (RAN), the so called front-
ten „Fronthaul“- und „Backhaul“-Netze, höchste Datenraten
haul- and backhaul-networks, must be able to reach very high
erreichen können. Neben der Datenrate ist als weiteres Krite-
data rates. In addition to the data rate, a second critical para-
rium die Dauer, die eine Nachricht von A nach B benötigt – die
meter is the time it takes for data to travel from A to B – the
Latenz der Übertragung – wichtig. Derzeit verfügbare Lösungen
latency of the transmission. Existing solutions are not able to
erreichen weder die Anforderung an die Datenrate noch die La-
provide the required data rate and latency.
tenz für zukünftige 5G-Systeme.
Abb. 3a: A
ntennenanordnung für Line-of-Sight MIMO-Übertragung mit 9 räumlichen Datenströmen im 60-GHz-Band.
Fig. 3a: Antenna arrangement for line-of-sight MIMO transmission using 9 spatial streams in the 60 GHz band.
DLoS=50 m
Abb. 3b: Testanordnung der MIMO-Messkampagne auf einem Gebäudedach in München.
Fig. 3b: Test set-up of the MIMO measurement campaign conducted in Munich.
Annua l
36
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
In diesem Projekt entwickeln wir kosteneffiziente Lösungen
This project aims to develop cost-efficient new solutions for
für Punkt-zu-Punkt-Verbindungen über eine Distanz von etwa
point-to-point links operating in the range of up to 100 m. To
100 m. Für 5G-Systeme wird dabei eine Datenrate von etwa 100
support 5G network traffic, 100 Gbps data rate with a latency
Gbit/s bei einer Latenz von < 1 ms benötigt. Unser Ansatz be-
of about 1 ms is required. We achieve this by using ultra-high
ruht auf dem Erreichen einer extrem hohen spektralen Effizienz
spectral efficiency and/or very high bandwidth.
bei gleichzeitiger Verwendung von hohen Bandbreiten.
Die geringe Latenz wird durch Verwendung paralleler Streams
Low latency wireless communication is realized by using par-
im Zusammenhang mit einem Lanes-Konzept erreicht. Das Ar-
allel streams and a „lanes“-architecture. The array of subarrays
ray von Subarrays in Abb. 3a erlaubt die parallele Übertragung
shown in Fig. 3a allows the parallel transmission of multiple
mehrerer Datenströme und erreicht gleichzeitig eine sehr hohe
data streams and provides very high directivity and gain. This
Richtwirkung, d. h. einen hohen Antennengewinn. Damit kön-
allows reaching large distances of more than 100 m with rela-
nen mit vertretbar großen Antennen von etwa 40 x 40 cm große
tively small antennas of approx. 40 x 40 cm.
Entfernungen von mehr als 100 m überbrückt werden.
Im Verlaufe des Projektes wurden line-of-sight (LOS)-MIMO-
In the course of the project line-of-sight (LOS) MIMO links for
Verbindungen für ultra-hohe Datenraten intensiv untersucht.
achieving ultra-high data rates were thoroughly investigated.
Dabei wurde ein Werkzeug zur Ermittlung der optimalen Anten-
A tool for identifying the optimal antenna configuration was
nenkonfiguration entwickelt. Eine angepasste Basisbandarchi-
developed. A digital baseband processing architecture based on
tektur basierend auf dem Lanes-Konzept wurde entwickelt und
a lanes concept was developed and proposed.
vorgeschlagen.
Es wird eng mit anderen Projekten zusammen gearbeitet, die
The work is carried out in close cooperation with other projects
sich z. B. auf die Entwicklung eines effizienten MAC-Prozessors
focussing e.g. on the development of the MAC layer. For this
fokussieren. Dazu wurde ein logisches und physisches Interface
purpose a logical and physical interface for connecting PHY and
zwischen PHY und MAC gemeinsam spezifiziert.
MAC was specified and agreed.
Erste praktische Experimente zeigen die Machbarkeit dieses An-
First practical experiments showed the feasibility of this
satzes. In einer Messkampagne in München wurden erfolgreich
approach. In a measurement campaign in Munich the trans-
zwei unabhängige LOS-MIMO Ströme über eine Entfernung von
mission of two independent line-of-sight (LOS) MIMO streams
50 m übertragen. Abb. 3b zeigt den Testaufbau, der auf einem
over a distance of 50 meter was demonstrated. Fig. 3b shows
Gebäudedach installiert ist. Nachdem die Machbarkeit des LOS-
the test-setup installed on the roof of a building. Having de-
MIMO Verfahrens praktisch prinzipiell nachgewiesen wurde,
monstrated the principal viability of the proposed LOS-MIMO
fokussiert sich die zukünftige Arbeit auf eine Sensitivitätsa-
approach, further work will focus on the sensitivity analysis of
nalyse hinsichtlich Verschiebung, Rotation und Vibrationen der
the arrangement with respect to displacements, rotation, or vi-
Antennenelemente.
bration of the antenna elements.
An n ual
R ep ort
2015
37
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
RTU ASIC - Zentrale Bordelektronik für
Satellitensysteme
RTU ASIC - Central Avionics for
Satellite Systems
In Zusammenarbeit mit der Firma SpaceTech entwickelte das
In collaboration with the company SpaceTech, IHP has deve-
IHP einen anwendungsspezifischen integrierten Schaltkreis
loped an application-specific integrated circuit (ASIC) intend-
(ASIC), der als Teil eines On-Board-Computersystems (OBC) von
ed to be used as part of an on-board computer system (OBC)
Satelliten umfangreiche Aufgaben des Datenmanagements, der
of satellites. This device is able to manage i/o data, to control
Batterieladungssteuerung sowie einer intelligenten Stromver-
battery charging, and to manage power consumption and distri-
sorgung übernimmt. Es entstand ein leistungsfähiges Prozes-
bution. The result is a powerful processing system, which can be
sorsystem, das sich flexibel per Software konfigurieren lässt.
flexibly configured via software instructions.
Viele Satellitenprojekte stehen unter hohem Kostendruck und
Many satellite projects are under high cost pressure and are
unterliegen strengen Exportbestimmungen. Deshalb muss die
subject to strict export regulations. Therefore the selection of
Auswahl der einzelnen Hardwarekomponenten gut geplant wer-
hardware components needs to be carefully planned. A perfor-
den. In diesem Projekt wurde eine wichtige OBC-Komponente,
mant and cost-effective remote terminal unit (RTU) for an OBC
eine leistungsfähige und kostengünstige „Remote-Terminal-
was developed. The block diagram of the proposed RTU system
Unit“ (RTU) entwickelt (Abb. 4). Hierbei handelt es sich um
is provided in Fig. 4. This is a modern mixed-signal ASIC also
einen modernen „mixed-signal“-Schaltkreis, der sowohl digi-
suitable for future satellite systems.
tale als auch analoge Schaltungen vereint und somit auch für
zukünftige Satellitensysteme geeignet ist.
Abb. 4: Vereinfachtes Blockschaltbild.
Fig. 4: Simplified Block Diagram.
Annua l
38
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Das Herz des ASICs ist ein 32-bit-SPARC-Prozessor, umgeben von
The heart of the ASIC is a 32-bit SPARC processor, surrounded
vielen integrierten Schnittstellen, die über ein gemeinsames
by many integrated interfaces and connected via a common
AMBA-Bussystem miteinander verbunden sind. Dazu gehören
AMBA bus system. The components and interface list includes a
die schnelle Multiplizier- und Divisionseinheit, 2-CAN-Module,
multiply/division unit, 2 CAN modules, A/D and D/A converters,
A/D- und D/A-Wandler, mehrere Timer/Counter einschließlich
several timers/counters including 8 PWM channels, SRAM and
8 PWM-Kanäle, je 32 kByte SRAM und Flash-Speicher, mehrere
Flash memories with 32k byte each, several UARTs and a debug
UARTs sowie eine Debug-Schnittstelle.
interface.
Das RTU-ASIC wurde als Dual-Chip-System konzipiert. Der
The RTU ASIC system is designed as a dual-chip in a package
Flash-Speicher, einschließlich seines Flash-Controllers, wurde
solution where the main chip includes the processor and the
als separater Chip hergestellt, aber gemeinsam mit dem RTU-
second chip integrates a flash memory. Both chips are mounted
Chip in ein keramisches CQFP-240-Gehäuse montiert. In Abb.
together in one CQFP-240 package. Fig. 5 shows the interposer
5 sieht man den Interposer des CQFP-Gehäuses mit den zwei
of the package with both chips bonded on it.
darauf gebondeten Chips.
Damit konnten Probleme vermieden werden, die durch die un-
This two-chip solution avoids problems of combining two dif-
terschiedlichen Technologievarianten möglich wären. Außer-
ferent technologies and also increases the yield. The die area
dem sollte die Ausbeute verbessert werden, da die erwartete
of the produced chips in IHP SGB25V (main RTU Chip) and
Chipfläche für die gewählte Technologievariante recht groß
SGB25VF (Flash chip) technologies was around 63.2 mm2 and
werden würde. Die mit den IHP-Technologien SGB25V (RTU)
21.3 mm2, respectively.
beziehungsweise SGB25VF (Flash) gefertigten Chips benötigten
letztlich Siliziumflächen von etwa 63,2 mm2 sowie 21,3 mm2.
Abb. 5: Interposer mit den gebondeten Chips.
Fig. 5: Interposer with both bonded Chips.
Abb. 6: RTU-Gehäuse mit Trägerstreifen.
Fig. 6: RTU Package including Leadframe.
An n ual
R ep ort
2015
39
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Um die Strahlungsfestigkeit für den geplanten Satellitenein-
To meet radiation resistance requirements for satellite appli-
satz sicherzustellen, wurde das Design mit der strahlungsfesten
cations the chip design was developed with the radiation-hard
RTL-Bibliothek des IHP entwickelt, das unter anderem TMR-
RTL library of the IHP which includes for example TMR structures
Strukturen für die verwendeten Flipflops vorsieht. Für die Im-
for the used flip-flops. For TMR implementation a novel design
plementierung der TMR-Strukturen wurde ein neu im IHP ent-
flow has been applied. These flip-flops were recently evalu-
worfener Designflow verwendet. Damit hergestellte Flipflops
ated under heavy ion radiation and confirmed as rad-hard up
zeigten eine SEE-Strahlungsfestigkeit bis zu 40 MeV*cm2/mg.
to 40 MeV*cm2/mg. Moreover, the internal and external memo-
Außerdem wurden die internen und externen Speicher, das
ries, the register file of the processor core as well as the flash
Registerfile des Prozessors sowie der Flash-Speicher mittels
memory were protected by a modified Hamming code, which is
modifiziertem Hamming-Code geschützt, welcher 2-Bit-Fehler
able to recognize all 2-bit errors and correct 1-bit errors auto-
erkennen und 1-Bit-Fehler automatisch korrigieren kann.
matically.
Eine weitere Herausforderung war der Analogentwurf der 12-Bit
Another challenge was the analog design of the 12-bit A/D and
A/D- und D/A-Wandler, der von unserem Partner Arquimea (Spa-
D/A converters which was performed by Arquimea (Spain). The
nien) ausgeführt wurde. Der A/D-Wandler kann mit externen
A/D converter supports external extension of the 8 analog in-
Multiplexern bis zu 2048 analoge Eingänge verarbeiten.
put channels up to 2048 input channels.
Nach den erfolgreichen Wafertests beider Chips wurden sie
Both, main RTU and flash chips were successfully tested on wa-
in raumfahrttaugliche CQFP-240-Keramikgehäuse verpackt
fer, and consequently packaged in space-application suitable
(Abb. 6) und anschließend erneut auf einem speziellen DUT-
CQFP-240 ceramic package (Fig. 6). The packaged devices were
Board im IHP getestet.
tested on a special DUT board in IHP.
Einige Messergebnisse wurden bereits publiziert. Der Leis-
The chips were functionally tested and some measurement re-
tungsverbrauch des ASICs konnte mit 1 W im Betrieb sehr nied-
sults have been published already. The measured power con-
rig gehalten werden. Es ist einer der ersten ASICs für Satelliten-
sumption in operation is about 1 W. This is one of the first chips
anwendungen, der den Prozessor, mehrere Interfaces sowie
for space applications integrating a processor, a number of
A/D- und D/A-Wandler auf einem Chip integriert.
interfaces and AD/DA converters in a single chip.
Abb. 7: Prototyp des Boards für direkt gebundenen RTU ASIC-Chipsatz
Fig. 7: Prototype of the board for directly-bonded RTU ASIC chipset
Annua l
40
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Schaltungen in Aufweckempfängern mit niedrigem Leistungsverbrauch für drahtlose Netze
Low-Power Wake-Up Receiver Circuits for
Wireless Networks
Drahtlos vernetzte Sensorknoten übernehmen zunehmend
Wireless sensor nodes are taking over an increasing number of
Aufgaben in der Automatisierung komplexer Prozesse, in der
tasks in the automation of complex processes, in smart traffic
intelligenten Verkehrssteuerung und Logistik, bei der Umwelt-
control and logistics, in environmental monitoring, and in medi-
überwachung und im medizinischen Bereich. Eine wesentliche
cal fields. A major prerequisite for their success is independ-
Voraussetzung für den erfolgreichen Einsatz ist ihr autarker Be-
ent operation, i.e. there are no cables for power supply and
trieb, d. h. es gibt keine Spannungsversorgung und Kommuni-
communication. Therefore, the individual sensor nodes can be
kation über Kabel. Somit lassen sich die einzelnen Sensorkno-
easily distributed, are not fixed to a location and are able to
ten einfach verteilen, sind nicht an feste Orte gebunden und
self-organize to a robust network.
können sich zu einem robusten System selbst organisieren.
Trotz beachtlicher Fortschritte in den vergangenen Jahren auf
Despite remarkable progress in the fields of energy generation
den Gebieten der Energiegewinnung und -speicherung ist die
and storage during the last years, supplying the sensor nodes
Energieversorgung des Sensorknotens nach wie vor das Haupt-
with power is still one of the major challenges. The advanced
problem. Die vorangeschrittene Integration und Optimierung
integration and optimization of the sensor unit, processor, and
von Sensoreinheit, Prozessor und zugehöriger Software führten
dedicated software led to the fact that the transmitter-receiver
dazu, dass die für die Kommunikation mit der Basisstation
unit (transceiver) responsible for the communication with the
verantwortliche Sende-Empfangs-Einheit (Transceiver) zum
base station has become the dominant consumer of power.
dominierenden Energieverbraucher wurde. Die Betriebsdauer
Thus, the life time of each single node is limited by the power
jedes einzelnen Knotens wird also von ihrer Leistungsaufnahme
consumption of the transceiver. Since the transmitted amount
begrenzt. Da bei den typischen Anwendungen die zu übertra-
of data is quiet small in typical applications, the power con-
genden Datenmengen relativ klein sind, schlägt die während
sumed during the short transmitting time slots only has a small
der kurzen Sendephasen verbrauchte Energie für die Gesamt-
impact on the overall energy balance. The constant stand-by of
bilanz nur geringfügig zu Buche. Die ständige Bereitschaft des
the receiver for receiving possible data is the dominant factor
Empfängers zum Empfang etwaiger Daten wird zum bestim-
now.
menden Faktor.
Eine naheliegende Lösung besteht darin, den Transceiver nur
An obvious solution is to switch on the transceiver for short
für kurze Zeitabschnitte einzuschalten und die restliche Zeit
time slots only and to keep it inactive for the rest of the time, li-
inaktiv, sozusagen schlafen zu lassen. Dieser sogenannte Duty-
terally letting it sleep. This so-called duty-cycling has the prin-
Cycle-Ansatz hat den prinzipiellen Nachteil, dass sich die Über-
ciple disadvantage of requiring more complex transfer proto-
tragungsprotokolle wegen der notwendigen Synchronisation
cols due to synchronization issues and exhibiting a degrada-
komplexer gestalten und sich einige Systemparameter wie die
tion of system parameters such as latency and data throughput.
Reaktionszeit (Latenz) und der Datendurchsatz verschlech-
Patching of a so-called wake-up receiver before the transcei-
tern. Eine intelligentere Lösung für viele Einsatzfälle erhält
ver offers a smart solution to a wide variety of applications. In
man durch Vorschalten eines sogenannten Aufweckempfängers
contrast to the main receiver, this one is built for extra low-
An n ual
R ep ort
2015
41
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
(Wake-Up-Receiver). Dieser ist im Gegensatz zum Hauptemp-
power consumption. However, it is only capable of receiving
fänger besonders energiesparsam aufgebaut. Er kann allerdings
small amounts of data at low data rates such as the address of
auch nur kleine Datenmengen mit langsamen Datenraten, wie
the destination node to be switched on. Then, the correspondi-
z. B. die Adresse des einzuschaltenden Zielknotens, empfangen.
ng main receiver of the addressed node is set to full reception
Der entsprechende Hauptempfänger des adressierten Sensor-
mode and takes over the further communication until falling to
knotens wird darauf in volle Empfangsbereitschaft versetzt und
sleep again. The main parameters of existing wake-up receivers
übernimmt die weitere Kommunikation bis zum erneuten Über-
such as sensitivity, range, robustness, and power consumption
gang in den Schlafzustand. Die Kennwerte existierender Wake-
are insufficient in practical terms for many networks in the
Up-Receiver wie Empfindlichkeit, Reichweite, Robustheit und
above mentioned applications. By carrying out research fo-
Leistungsaufnahme sind für viele Netzwerke aus den anfangs ge-
cused on these issues, amongst others within the BMBF project
nannten Anwendungsgebieten in der Praxis noch unzureichend.
AETERNITAS, the IHP has developed concepts, prototypes, and
Mittels gezielter Forschungsarbeiten, u. a. im BMBF-Projekt
demonstrators of low-power wake-up receivers.
AETERNITAS, wurden am IHP Konzepte, Prototypen und Demonstratoren für energiesparende Wake-Up-Receiver entwickelt.
Abb. 8: Chipfoto eines abgleichbaren 2,4-GHz-LNAs.
Fig. 8: Chip photograph of a tunable 2.4-GHz LNA.
Annua l
42
Re po r t
2015
Abb. 9: Wake-Up-Receiver-Leiterplatte.
Fig. 9: Wake-Up receiver PCB.
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Hauptinhalte der Arbeiten waren Kernschaltungen für Wake-
The main topic of this research dealt with core circuits of wake-
Up-Receiver, die ständig in Betriebsbereitschaft sind und somit
up receivers which are in a steady reception mode and hence
nahezu keine Latenz besitzen, aber dennoch nur eine minimale
show nearly no latency, but still consume only a minimum of
Leistung (Low-Power) aufnehmen. Hierzu wurde eine umfang-
power. An extensive matrix of circuits in different technologies
reiche Matrix an Technologie- und Schaltungsvarianten entwor-
and circuit topologies was developed and evaluated. Apart from
fen und ausgewertet. Im Fokus standen neben Hüllkurvendetek-
envelope detectors, comparators, and voltage references we fo-
toren, Komparatoren und Referenzquellen insbesondere die aus
cused on low noise amplifiers (LNA) which are challenging from
Verlustleistungssicht anspruchsvollen rauscharmen Vorstufen
a power consumption point of view.
(LNA).
Eine wesentliche Erkenntnis dieser Untersuchungen ist die
One of the essential research conclusions is the fact that the
Tatsache, dass für LNAs bei gleichen dynamischen Parametern
usage of IHP’s SiGe:C bipolar transistors dissipates 30 percent
durch Einsatz der SiGe:C-Bipolartransistoren des IHP 30 Pro-
less power than an equivalent solution in pure CMOS with the
zent weniger Leistung gegenüber einer gleichwertigen Lösung
same dynamic parameters (see Fig. 8 and Table 1). Another
in reiner CMOS-Schaltungstechnik aufgebracht werden muss
25 percent energy reduction can be realized by employing the
(siehe Abb. 8 und Tabelle 1). Weitere 25 Prozent Energieein-
so-called local backside etching (LBE). This additional process
sparung lassen sich durch Anwendung des sogenannten selek-
option for instance locally removes silicon underneath integrat-
tiven Rückseitenätzens (LBE) erreichen. Bei dieser Prozess-
ed coils in order to obtain a better quality factor and hence
option wird z. B. lokal unterhalb von integrierten Spulen das
increase the gain under the same current.
Silizium entfernt, um eine höhere Güte der Spule zu realisieren,
was wiederum bei gleichem Strom die Verstärkung erhöht.
Die Praxistauglichkeit solcher Low-Power-Schaltungen wurde
The practical suitability of such low-power circuits was success-
u. a. im Rahmen des NANETT-Leitprojektes erfolgreich demons-
fully demonstrated amongst others within the framework of the
triert. Abb. 9 zeigt einen Wake-Up-Receiver, wie er innerhalb
NANETT project. Fig. 9 shows a wake-up receiver mounted on
eines Demonstratornetzwerkes zum Einsatz kam. Tabelle 2
a PCB as part of the demonstrator network. Table 2 documents
listet die erreichten Messwerte für ein OOK-moduliertes Auf-
measured parameters for an OOK modulated wake-up signal.
wecksignal auf.
Parameter
Value
Parameter
Value
Center frequency 2.4 GHz
Power consumption 600 µW
Gain S21
14 dB
Center frequency 2.4 GHz
Input reflection coeff. S11
-22 dB
Noise Figure NF
3 order intercept point IIP3
-9 dBm
Sensitivity
Noise Figure NF
12 dB
rd
12 dB
@ 390 µA SiGe LNA, OOK mod. -57 dBm
Power consumption 460 µW
Tabelle 1: Messwerte des 2,4-GHz-LNAs bei 250 µA LNA-Strom
Table 1: Measurements of the tunable 2.4-GHz LNA at 250 µA LNA
current
Tabelle 2: Hauptkennwerte des Wake-Up-Receivers
Table 2: Main parameters of the wake-up receiver
An n ual
R ep ort
2015
43
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
HomiRadar – Hochintegriertes miniaturisiertes
Radarmodul zum hochflexiblen Einsatz in Fluggeräten, Robotik und in Consumerprodukten
HomiRadar – Highly Integrated Miniaturized
Radar Module for Highly Flexible Use in Aircraft, Robotics and Consumer Products
Das ZIM-Projekt HomiRadar hat ein kompaktes Radarmodul
The ZIM project HomiRadar has developed a compact radar
entwickelt, das durch die hohe Integration und die einfache
module that can be used in many application fields, from auto-
Weiterverarbeitung in einer Vielzahl von Anwendungsbereichen
mation technique, consumer products to small autonomous air-
von der Automatisierungstechnik, über Consumerprodukte bis
craft due to the high integration and easy further processing.
hin zu kleinen autonomen Fluggeräten zum Einsatz kommen
The radar module should also be realizable in a cost-effective
kann. Das Radarmodul soll zudem kostengünstig und massen-
and mass-marketable manner.
tauglich realisierbar sein.
Die neuesten Fortschritte der BiCMOS-Technologien auf Ba-
The recent advances in the BiCMOS technologies based on Sili-
sis von Silizium-Germanium (SiGe) ermöglichen hinsichtlich
con-Germanium (SiGe) with respect to high frequency perfor-
Hochfrequenzperformance die Implementierung integrierter
mance pave the way for the implementation of millimeter-wave
Millimeterwellenschaltungen mit hoher Integrationsdichte und
integrated circuits with high integration levels at moderate
niedrigen Kosten. Die in SiGe-Technologien implementierten
mask costs. The transceiver chips implemented in SiGe techno-
Transceiver-Chips sind für den Einsatz in Millimeterwellensen-
logies are very attractive for use in millimeter wave sensors. Un-
soren sehr attraktiv. Bisher werden für diese Chips spezielle
til now special high frequency boards as well as highly accurate
HF-Boards sowie hochgenaue und teure Aufbau- und Verbin-
and costly mounting and connection technology are required
Double Dipole
RX Antenna
LNA
2 Sub Harmonic
Mixers
SPI + Digital
Abb. 10: Chip-Foto des 122 GHz Radar SoC.
Fig. 10: Micrograph of the fabricated 122 GHz radar SoC.
Annua l
44
Re po r t
2015
Branch Line Frequency Push-Push Double Dipole
Coupler
Doubler
TX Antenna
VCO
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
dungstechnik benötigt. Viele Komponenten, wie die Antennen
for these chips. Many components such as the antennas and the
und der Synthesizer müssen noch zusätzlich auf den Boards
synthesizer have to be additionally implemented on the boards.
realisiert werden. Die Anwender benötigen dafür spezielle HF-
The users require special high frequency knowledge.
Kenntnisse.
Das Projekt hat sich zum Ziel gesetzt, die Radarsensoren zu
The goals of the project are to miniaturize the radar sensors and
miniaturisieren und in ein System-On-Chip (SoC) zu realisieren.
to implement them as a system-on-chip (SoC). Special atten-
Besonderes Augenmerk wird auf die Realisierung der passiven
tion is directed towards the realization of the passive struc-
Strukturen gelegt, die den Großteil der Radarsensoren ausma-
tures, which account for the largest part of the radar sensors.
chen. Durch die Verwendung des hohen Frequenzbandes um
Due to the use of the high frequency band around 122 GHz, the
122 GHz können passive Strukturen wie Antennen ziemlich
passive structures such as the antennas can be implemented on
kompakt auf dem Chip implementiert werden. Wegen des ver-
the chip in a very compact manner. However, until now the on-
lustbehafteten Siliziumsubstrates weisen die On-Chip-Anten-
chip antennas exhibit a low antenna gain due to the lossy sili-
nen allerdings bisher einen sehr geringen Antennengewinn
con substrate. The new localized backside etching technique
auf. Durch ein neu entwickeltes Ätzverfahren in der SiGe-Tech-
developed in the IHP SiGe technology can be used to remove
nologie des IHP, die sogenannte „localized backside etching
part of the silicon substrate below the antenna structures. This
technique“, kann ein Teil des Siliziumsubstrates unterhalb der
results in an improvement in the gain of the on-chip anten-
Antennen entfernt werden. Dadurch erhöht sich der Gewinn der
nas. New antennas with optimized gain should be integrable
On-Chip-Antennen. Neue Antennen mit optimiertem Gewinn
on the chip. This results in the simplification of the mounting
sollen dadurch auf dem Chip integrierbar werden. Dies führt zur
and connection technology as well as the reduction of the
Vereinfachung der Aufbau- und Verbindungstechnik sowie Re-
production cost of the whole radar sensor. The high frequency
duktion der Fertigungskosten des gesamten Radarsensors. Die
complexity is thus limited to the chip. Since all high frequency
hochfrequenztechnische Komplexität wird somit auf den Chip
interconnects are integrated on chip, no special high frequency
beschränkt. Da sämtliche HF-Verbindungen bereits im Chip
knowledge is required for the end users.
integriert sind, sind für den Endanwender keine speziellen HFKenntnisse nötig.
Abb. 11: Foto des Demoboards.
Fig. 11: Photo of the demo board.
An n ual
R ep ort
2015
45
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Zwei gefaltete Doppel-Dipol Antennen wurden in dem 122-GHz
Two double folded-dipole antennas were integrated in the 122
Radar-Transceiver integriert, der aus einem Push-Push 60-GHz-
GHz radar transceiver, that includes a push-push 60 GHz coll-
Collpitts-VCO, einem Frequenzverdoppler, harmonischen Mi-
pitts VCO, a frequency doubler, harmonic mixers and a frequency
schern und einem Frequenzteiler besteht. Anstatt das gesamte
divider. Instead of removing the whole silicon under the anten-
Siliziumsubstrat unterhalb der Antenne zu entfernen, wird nur
na, only a small area around the antenna is etched away. This
ein kleiner Teil um die Antenne weggeätzt. Dies führt zu einer
results in a chip with a much higher mechanical stability, albeit
höheren mechanischen Stabilität für den Chip. Ein Antennen-
with a little degradation in antenna gain. An antenna gain of
gewinn von 6 dBi kann mit der implementierten Antenne er-
around 6 dBi can be achieved with the implemented antenna.
reicht werden. Abb. 10 zeigt das Chip-Foto des vollintegrierten
Fig. 10 shows the micrograph of the fully integrated 122 GHz
122-GHz-Transceivers mit den zwei Sende- und Empfangsan-
transceiver with the two transmit and receive antennas. The
tennen. Der Chip wurde in der IHP 130 nm SiGe BiCMOS-Techno-
chip was implemented in IHP 130 nm SiGe BiCMOS technology
logie mit fT / fMAX von 220 GHz/300 GHz implementiert und hat
with fT / fMAX of 220 GHz / 300 GHz and has a size of 4.5 x 2.3 mm2.
eine Größe von 4,5 x 2,3 mm2. Der gefertigte Chip ist auf dem
The implemented chip was mounted and wire-bonded on the ra-
Radardemonstrator aufgebaut, der in Abb. 11 zu sehen ist. Ra-
dar demonstrator, which can be seen in Fig. 11. Radar measure-
darmessungen wurden damit erfolgreich durchgeführt (Abb.12
ments were performed successfully with the demonstrator (see
und 13). Mit dem Radarsensor lassen sich Abstände mehrerer
Figs. 12 and 13). The radar sensor can be used to measure the
Objekte von dem Sensor sehr genau messen.
distances of multiple static and moving targets very accurately.
Abb. 12: Messaufbau des Radar-SoC für statische und bewegte Objekte.
Ein Corner-Cube wurde auf der Modellbahn platziert, die sich auf
einer kreisförmigen Schiene bewegt. Ein zweiter Corner-Cube
wurde in der Mitte der kreisförmigen Schiene platziert.
Fig. 12: Measurement setup of the radar SoC for static and moving
targets. One corner cube was placed on top of a modelrailroad
moving on a circle rail and another corner cube was placed in
the middle of the circle rail.
Abb. 13: Das Messergebnis zeigt die Positionen des bewegenden Objektes
als eine sinusförmige Kurve und die Position des statischen
Objektes als eine gerade Linie in Zeitbereich.
Fig. 13: Measurement result showing the positions of the moving target
as a sine curve and the position of the static target as a
straight line in time domain.
Annua l
46
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Silizium-Photonik für kohärente Netze
Silicon Photonics for Coherent Networks
Das Internet ist in vielen Bereichen des täglichen Lebens mitt-
The Internet has become an indispensable part of the infra-
lerweile unersetzlich. Ein zuverlässiger und sicherer Zugang zur
structure for most aspects of daily life. The uninterrupted, re-
Telekommunikationsinfrastruktur wird als fundamentales Recht
liable, and secure access to the telecommunication infrastruc-
und bedeutender wirtschaftlicher Faktor wahrgenommen. Die
ture is seen as a basic right for all citizens and is considered
derzeitige Netzstruktur wird oft dafür verantwortlich gemacht,
a significant economical factor. Today’s Internet infrastructure
dass sichere und vertrauenswürdige Kommunikation nur teil-
is often reported as not being able to offer the desired level
weise gegeben ist. Optischen Netzen mit höherer Kapazität und
of features which are obviously associated with a trusted, safe
Zuverlässigkeit kommt eine Schlüsselstellung bei der Etablie-
and secure communication medium. Optical networks with in-
rung der gewünschten Infrastruktur zu.
creased capacity and reliability are the key to establishing the
desired infrastructure.
Im Bereich der Komponenten spiegelt sich das wider im Trend
On the component side this is reflected by the trend toward
zur funktionalen Integration von Sub-Assemblies und in der
functional integration of subassemblies and full integration of
Vollintegration von Subsystemen für kohärente optische Netze.
subsystems for optical coherent networks. In the project SASER
Im BMBF-Projekt SASER wurde dies angegangen durch den Ein-
this was planned on the basis of semiconductor based compo-
satz halbleiterbasierter Komponenten anstelle von LiNbO3 und
nents to replace LiNbO3 components and in long-term perspec-
längerfristig mit Untersuchungen im Bereich Silizium-Photonik.
tive by investigating Si-Photonic technologies.
In SASER hat das IHP integrierte Komponenten für InP-basierte
Within the frame of SASER, IHP developed integrated compo-
Sendemodule für kohärente Übertragungssysteme bis 40 GBaud
nents for InP-based transmitter modules for coherent trans-
entwickelt. Um diese Performanz zu erzielen, wurden im Schalt-
mission up to 40 GBaud data rate. In order to achieve this
kreisentwurf zwei Ansätze verfolgt: Ein segmentiertes Treiber-
performance, two circuit design approaches were pursued: a
konzept und ein Treiber für Modulatoren mit Wanderwellenelek-
segmented driver concept, and a driver for a traveling-wave
trode mit 25 Ohm Impedanz. In Abb. 14 sieht man den elek-
device with 25 Ohm impedance. In Fig. 14, the electro-opti-
trooptischen Aufbau eines InP-Mach-Zehnder-Modulators zu-
cal assembly of an InP Mach-Zehnder modulator is displayed
sammen mit einem segmentierten Treiber-IC in SiGe:C-BiCMOS-
together with the SiGe:C BiCMOS segmented driver IC devel-
Technologie, wie er vom IHP entwickelt wurde. Der InP-Mo-
oped by IHP. Here, the InP modulator is divided into 16 seg-
dulator wurde in 16 Segmente unterteilt, die individuell von
ments, which are individually driven by 16 separate SiGe driver
separaten SiGe-Verstärkern, die auf einem Chip integriert sind,
amplifiers, realized in a single chip, thereby achieving a higher
getrieben werden. Dadurch kann eine hohe Bandbreite erzielt
overall bandwidth. In Fig. 15 we present the 25 Ohm driver IC.
werden. Abb. 15 zeigt den 25-Ohm-Treiber-Schaltkreis.
Das SASER-Projekt war wesentlich für die Entwicklung einer
The SASER project has been a significant driving force for the
photonischen BiCMOS-Technologie (auch ePIC-Technologie
development of photonic BiCMOS technology at IHP, also called
genannt) am IHP. Der entwickelte Prozessablauf erlaubt die
ePIC technology (electronic photonic integrated circuits). The
monolithische Integration von schneller BiCMOS-Elektronik mit
developed photonic BiCMOS flow allows monolithic integration
leistungsfähigen photonischen Komponenten wie ladungsträ-
of BiCMOS high-speed electronics with state-of-the-art photo-
gerbasierte Modulatoren, Wellenleiter-Germanium-Detektoren
nic components, such as carrier dispersion modulators, wave-
und integrierte Optik. Die photonische BiCMOS-Technologie
guide integrated germanium detectors, and high-performance
An n ual
R ep ort
2015
47
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
des IHP etabliert den Stand der Technik im Bereich photonisch-
integrated optics. IHP’s photonic BiCMOS technology achieves
elektronische Integration.
state-of-the-art performance with respect to photonic and
electronic devices.
Unter Verwendung der IHP-ePIC-Technologie konnte erfolg-
Making use of IHP´s ePIC technology, a high-speed monolithi-
reich ein monolithisch integrierter Empfänger für 40 Gbps reali-
cally integrated linear receiver was successfully implemented,
siert werden, der in Abb. 16 gezeigt ist. Der IC ist hochintegriert
demonstrating 40 Gbps data rate. This receiver is depicted
und misst nur 3 mm einschließlich optischer Schnittstelle (Git-
in Fig. 16. The IC is highly integrated and it measures only
terkoppler), Wellenleiter, Germanium-Diode und Verstärker. Der
3 mm2 including the optical interface (grating coupler), optical
Verstärker basiert auf schnellen SiGe-Transistoren und verwen-
waveguide, germanium photodiode, as well as the transimpe-
det CMOS-Kontrollelektronik auf dem gleichen Chip.
dance amplifier. The amplifier makes use of high-speed SiGe
2
transistors available in the ePIC process and implements CMOS
control circuitry on the same chip.
Für kohärente 100-G-Übertragungssysteme wurde ein monoli-
In order to support coherent transmission systems for 100 G,
thischer polarisationsunabhängiger Empfänger entwickelt. Der
a fully monolithic dual-polarization coherent receiver was also
Chip wurde in ePIC-Technologie realisiert und integriert vier
developed within the framework of the SASER project. The chip
lineare Verstärker und die notwendige Optik. Abb. 17 zeigt den
was realized in ePIC technology and includes 4 linear transim-
Empfänger, einen ultrakompakten integrierten Schaltkreis von
pedance amplifiers and the required photonic components.
nur 8 mm .
Fig. 17 shows the receiver, an ultra-compact integrated circuit
2
with merely 8 mm2.
Abb. 14: Segmentierter IHP InP-Modulator-Treiber im Modul mit
40 Gbaud.
Fig. 14: IHP segmented InP modulator driver in package for 40 Gbaud.
Abb. 15: Foto des 25-Ohm-Treiber-ICs realisiert in IHP 0,13-µm-SiGe:C- BiCMOS-Technologie.
Fig. 15: Micrograph of 20 Ohm driver IC in IHP 0.13 µm SiGe:C BiCMOS technology.
Abb. 16: Monolithisch-integrierter 40 Gbps linearer silizium-photonischer
Receiver.
Fig. 16: Monolithically integrated 40 Gbps silicon photonics linear receiver.
Abb. 17: Monolithischer polarisationsunabhängiger kohärenter 100-G Empfänger.
Fig. 17: Fully monolithic dual-polarization 100 G coherent receiver.
Annua l
48
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
NANOTEC – RF-MEMS Technologien für
mm-Wellen Radar- und Sicherheitssysteme
NANOTEC – RF-MEMS Technologies for
mm-wave Radar and Security Systems
NANOTEC ist ein durch die EU innerhalb des 7. Forschungs-
The NANOTEC project is an EU project supported by the 7th
rahmenprogramms gefördertes Projekt. Es wurde durch Thales
Frameworkprogramme of the European Commission. The project
Research Technologies (Frankreich) koordiniert. Folgende Part-
is coordinated by Thales Research Technologies from France
ner aus Deutschland waren beteiligt: Airbus, IHP, Fraunhofer
and involves key companies, institutes and a university from
IZM, Silicon Radar und die Universität Ulm. Das Hauptziel lag
Germany: Airbus, IHP, Fraunhofer IZM, Silicon Radar and the
in der Entwicklung von RF-MEMS-Technologien in Kombination
University of Ulm. In the NANOTEC project, the main objective
mit leistungsfähigen SiGe-BiCMOS- und GaN-Technologien für
is the development of RF-MEMS technologies, combined with
rekonfigurierbare mm-Wellen Radar- und Sicherheitssysteme.
high performance SiGe BiCMOS and GaN technologies for re-
Dabei werden RF-MEMS-Schalter als eine Schlüsselkomponen-
configurable mm-wave radar and security systems. RF-MEMS
te gesehen. Es wurden drei Demonstratoren entwickelt: ein
switches are considered the key component for the NANOTEC
10-GHz-X-Band-Radar für Vortex-Detektion an Flughäfen, ein
project. Within the project three demonstrators were develo-
passives 94-GHz-Imaging-System für Sicherheitskontrollen an
ped: a 10 GHz high power X-band radar for vortex detection at
Flughäfen und ein aktives 140-GHz-Imaging-System für die
airports; a 94 GHz passive imaging system for security checks at
Überprüfung von alleinstehenden Gepäckstücken. Sowohl der
airports and a 140 GHz active radar system for unattended lug-
94-GHz- als auch der 140-GHz-Demonstrator wurden unter Ver-
gage control. Both the 94 GHz and 140 GHz demonstrators were
wendung der im Projekt NANOTEC entwickelten RF-MEMS SiGe-
realized using the RF-MEMS SiGe BiCMOS technology developed
BiCMOS-Technologien entwickelt.
within the NANOTEC project.
Eine der größten Herausforderungen für Anwendungen von
One of the main challenges for mm-wave systems is the avail-
mm-Wellen ist die Verfügbarkeit von Schaltern mit geringer
ability of high performance switches with low insertion loss and
Dämpfung und hoher Isolation bei derart hohen Frequenzen.
high isolation at these high frequencies. This challenge limits
Diese Anforderung schränkt den variablen Einsatz von mm-Wel-
the use of mm-wave systems for different applications and
len-Systemen für verschiedene Anwendungen ein und erfordert
each application needs additional external hardware; thus the
je nach Anwendung zusätzliche externe Bauelemente, wodurch
overall size of the devices gets bigger and the devices become
diese Systeme sehr groß und teuer werden. Deshalb war eines
more costly. One of the main goals of the NANOTEC project is
der Hauptziele die Entwicklung von RF-MEMS-Schaltern für re-
the development of high performance RF-MEMS switches to
konfigurierbare mm-Wellen-Schaltkreise.
enable reconfigurable mm-wave circuits. With such reconfigurable circuits, the overall system size becomes much smaller.
Im Projekt NANOTEC hat das IHP einen sehr schnellen und lei-
Within the NANOTEC project, IHP has developed ultra-small and
stungsfähigen RF-MEMS-Schalter innerhalb der SiGe-BiCMOS-
high performance RF-MEMS switches in its SiGe BiCMOS techno-
Technologie SG13G2 entwickelt. Es wurden Demonstratoren
logy (SG13G2) for 94 GHz and 140 GHz frequencies. Demonstra-
von Alfa Imaging (Spanien) bei 94 GHz und Airbus (Deutsch-
tors from Alfa Imaging (Spain) at 94 GHz and Airbus (Germany)
land) bei 140 GHz unter Verwendung der neuen RF-MEMS SiGe-
at 140 GHz have been realized using the developed technology
BiCMOS-Technologie realisiert.
by IHP.
An n ual
R ep ort
2015
49
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
RF-MEMS-Technologien sind schon seit einigen Jahrzehnten
RF-MEMS switch technologies have been very well known for
bekannt für ihre guten Eigenschaften speziell bei hohen Fre-
their superior performances for the last few decades. However,
quenzen im mm-Wellen-Bereich. Die größte Herausforderung
the main bottleneck for this technology is the challenging
besteht noch immer in der Umsetzung eines geeigneten Packa-
packaging. In addition to the electrical packaging constraints,
gings dieser RF-MEMS-Komponenten. In den vergangenen Jah-
there are also mechanical concerns during the packaging of RF-
ren wurden verschiedene Ansätze wie Cap-to-Wafer oder Wa-
MEMS devices. In the last few years, there were many attempts
fer-to-Wafer-Packaging entwickelt, welche oft sehr teuer sind
to package RF-MEMS switches using cap-to-wafer or wafer-to-
oder schlechte HF-Performance aufwiesen. Im Vergleich ist das
wafer packaging techniques. However, the developed packaging
Packaging mittels „Thin-Film” Verkapselung die beste Lösung
technologies had either poor performance or were cost intensive.
für kommerzielle Anwendungen durch hohe Performance und
Of all the developed packaging techniques, thin film wafer lev-
sehr geringe Kosten.
el encapsulation seems to be the most appropriate solution for
commercial applications with its high performance and low cost.
Innerhalb von NANOTEC hat das IHP einen mm-Wellen RF-MEMS-
Within the NANOTEC project, IHP has developed thin film wafer
Schalter mit Wafer-Level „Thin-Film“-Verkapselung entwickelt.
level encapsulated RF-MEMS switches for mm-wave applica-
Der Integrationsansatz ist in Abb. 18 gezeigt. Der RF-MEMS-
tions. The process integration scheme is given in Fig 18. The
Schalter wird im BiCMOS-BEOL-Metallisierungsstapel integriert
RF-MEMS switch is realized using BEOL metallization of IHP’s
und durch Abscheiden von SiO2 zum Ende des Prozesses ver-
BiCMOS process while the wafer level encapsulation is perform-
schlossen. In Abb. 19 ist die elektromagnetische Modellierung
ed by depositing SiO2 for hermetic sealing. Fig. 19 shows the
des RF-MEMS-Schalters bei 140 GHz gezeigt. Der integrierte
electromagnetic modelling of RF-MEMS switch at 140 GHz. The
RF-MEMS-Schalter wurde innerhalb der IHP-SG13G2-Techno-
developed RF-MEMS switch technology is integrated into IHP’s
logie realisiert und von Airbus für den 140-GHz-Demonstrator
SG13G2 technology and the chipsets required for the Airbus
verwendet. Das entwickelte 2D-MIMO-Radar ist in Abb. 20
demonstrator at 140 GHz have used this technology. The de-
dargestellt. Für den 140-GHz-Chip wurden außerdem On-Chip-
veloped 2D MIMO array type of active imaging demonstrator is
Antennen verwendet und bei Airbus die Detektion einer Pistole
shown in Fig. 20. 140 GHz chipsets were fabricated together
hinter einer Wand demonstriert (Abb. 21).
with the on-chip antennas. Finally, the demonstrator developed by Airbus has been used to detect a pistol behind a wall at
the end of the project (Fig. 21).
Abb. 18: Integrationskonzept des RF-MEMS-Schalters in der IHP 0,13-µm-BICMOS-Technologie mit Verkapselung.
Fig. 18: Process integration concept of RF-MEMS switch in IHP’s 0.13 um BiCMOS process with hermetic sealing.
Annua l
50
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Die entwickelte BiCMOS-RF-MEMS-Technologie ist eine ein-
The developed RF-MEMS switch technology within the NANO-
zigartige Technologie. Die Schalter weisen nach heutigem
TEC project is a unique technology in Europe which is fully in-
Stand die besten Hochfrequenzeigenschaften bei mm-Wellen-
tegrated into a BiCMOS platform. Furthermore, the developed
Frequenzen auf. Ausgehend von den Ergebnissen im Projekt
RF-MEMS switches still define the state-of-the-art performance
NANOTEC wird der RF-MEMS-Schalter für einige Projektpartner
features at mm-wave frequencies. Based on the results achiev-
als sehr wichtige Komponente für zukünftige Höchstfrequenz-
ed within the NANOTEC project, the RF-MEMS switches are now
systeme gesehen.
being seen as key components for high frequency systems by
several of the industrial partners.
Abb. 19: Elektromagnetische Modellierung des 140-GHz-RF-MEMS
Schalters.
Fig. 19: Electromagnetic modeling of 140 GHz RF-MEMS switch.
Abb. 20: AIRBUS 2D-MIMO-Demonstrator bei 140 GHz in der
SG13G2-BiCMOS-Technologie.
Fig. 20: Airbus 2D MIMO demonstrator at 140 GHz using IHP’s
SG13G2 BiCMOS technology.
Abb. 21: NANOTEC Demonstrator von AIRBUS: Abbildung einer Pistole bei 140 GHz unter Verwendung der SG13G2-BiCMOS-Technologie.
Fig. 21: NANOTEC final demonstrator by Airbus: Active imaging of a pistol at 140 GHz using SG13G2 BiCMOS technology.
An n ual
R ep ort
2015
51
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
THz LOC/Terahertz Lab-on-Chip
THz LOC/Terahertz Lab-on-Chip
THz LOC ist ein von der Deutschen Forschungsgemeinschaft
THz LOC is a research project funded by the German Research
(DFG) gefördertes Forschungsprojekt, koordiniert durch die
Foundation (DFG) and coordinated by TU Berlin and IHP. The
Technische Universität Berlin und das IHP. Hauptziel des Pro-
main objective of the project is the development of a micro-
jektes ist die Entwicklung einer Mikrofluidik-Plattform für mm-
fluidic technology platform for mm-wave and THz sensing of
Wellen und THz-Sensorik unter Verwendung einer leistungsfä-
biological samples using a state-of-the-art BiCMOS technology.
higen BiCMOS-Technologie.
The target application is specifically at the cell level.
Siliziumbasierte, mikrofluidische Systeme werden zunehmend
Silicon based microfluidic systems are becoming increasingly
interessant, da verschiedene Funktionalitäten direkt auf einem
interesting as they are able to provide new onchip functionali-
Mikrochip bereitgestellt werden können. Durch die enormen
ties with high performance. By using the impressive progress of
Fortschritte im Bereich der CMOS (BiCMOS)-MEMS-Integration
CMOS (BiCMOS) adaptability on MEMS integration, components
können zusätzliche Komponenten wie Schalter, Ventile, Mixer,
such as microfluidic switches, valves, mixers, micropumps, and
Mikropumpen und Sensoren zusammen mit mikrofluidischen
sensors can be implemented together with a microchannel. The
Kanälen integriert und damit in einem System kombiniert wer-
integration of microfluidic systems in CMOS (BiCMOS) would
den. Die Integration bietet enorme Vorteile, da kürzere Verbin-
also be advantageous, because shorter connections between
dungen zwischen Mikrofluidik, Sensorik und Auswerteelektronik
the microfluidic system, the sensors, and the evaluation elec-
realisiert werden können, wodurch eine sehr hohe Empfindlich-
tronics could be realized, thus leading to a very high sensitivity.
keit erreicht werden kann.
Abb. 22: Schematische Darstellung der Mikrofluidik-Plattform, integriert in der BiCMOS Technologie.
Fig. 22: Cross-section view of the microfluidic platform integrated into standard BiCMOS process (not to scale).
Annua l
52
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Das Frequenzspektrum von einigen kHz bis zu THz bietet neue
The frequency spectrum from several kHz to THz provides
biosensorische Detektionsmethoden mit sehr hoher Selektivi-
novel biosensing/detection methods based on different prin-
tät. Innerhalb der BiCMOS-Technologie können Strukturen zur
ciples. Millimeter waves offer great opportunities for various
Nutzung des Dielektrophorese (DEP)-Effekts implementiert
types of biosensors because of their labelfree high selectivity
werden, um die Manipulation und Analyse einzelner Zellen zu
operation. A BiCMOS platform also permits the integration of
ermöglichen. Die Fertigungsprozesse innerhalb der BiCMOS-
multiplefrequency analysis for biomaterials. BiCMOS is crucially
Technologie erlauben die Verwendung deutlich reduzierter
suited to enable dielectrophoresis (DEP) control as a method
elektrischer Spannungen und ermöglichen eine effiziente Steu-
for single cell analysis in integrated microsystems. BiCMOS
erung und Manipulation der Zellen durch elektrische Felder.
manufacturing capabilities enable reduced applied voltage as
well as improved efficiency of cell handling by manipulating
the electrical field in dedicated microchannels.
Der BEOL-Metallisierungsstapel der BiCMOS-Technologie wird in
Within this project, a highly integrated microfluidic device in
der Form modifiziert, dass Mikrokanäle und 3D-Mikroelektroden
BiCMOS process for single cell analysis is proposed. The BEOL
hergestellt werden können. Dies wurde durch das Einfangen von
of the standard BiCMOS process is modified to fabricate the
Zellen demonstriert. In Abb. 22 ist das Integrationskonzept für
microchannel and the 3D microelectrodes and it is demon-
die Mikroffluidik gezeigt.
strated for single particle manipulation and trapping by using
dielectrophoretic forces. Fig. 22 shows the conceptual view of
the modified BiCMOS process in order to integrate a DEP based
Der Prozess-Flow zur Herstellung der integrierten Mikrofluidik
microfluidic platform for single cell analysis.
sowie eine Rasterelektronenmikroskop (REM)-Aufnahme der
3D-Mikroelektroden innerhalb eines mikrofluidischen Kanals
The postprocessing flow of microfluidics is given in Fig. 23 (a).
sind in Abb. 23 (a) - (b) gezeigt. Die dicken Elektroden werden
Fig. 23 (b) shows the SEM image of the integrated microelec-
sowohl zur Dielektrophorese als auch zur HF-Signaleinkopplung
trodes in the microchannel. The thick electrodes are used to
verwendet, wohingegen die dünnen Elektroden in der Mitte des
apply both DEP and RF signals to flowing particles while the
mikrofluidischen Kanals auf Masse liegen und damit ein sehr
thinner ones, implemented in the middle of the microfluidic
effizientes elektrisches Einfangen der Zellen ohne signifikante
channel, are electrically grounded to form the electrical cage
Beeinflussung der Strömung ermöglichen.
potential with an insignificant effect on the liquid flow.
Abb. 23: Prozess-Flow für BiCMOS integrierte Mikrofluidik (a). REM-Aufnahme der integrierten Mikroelektroden und mikrofluidischen Kanäle (b).
Fig. 23: The post-processing flow of integrated microfluidics in BiCMOS (a). The SEM image of the integrated microelectrodes and the
microchannel (b).
An n ual
R ep ort
2015
53
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Zur Demonstration der leistungsfähigen BiCMOS-integrierten
For the demonstration of single cell manipulation in the Bi-
Mikrofluidik-Plattform wurden kommerziell verfügbare Polysty-
CMOS embedded microfluidic platform, commercially available
ren-Partikel mit 15-µm-Durchmesser in DI-Wasser verwendet.
polystyrene particles (15 µm diameter) suspended in DI water
Durch geeignetes Design der Elektroden können die Zellen sehr
have been used. The quadrupole electrodes design forms an
effizient elektrisch gefangen werden. Wenn die Partikel zwi-
efficient electrical trap for single particles that react in nega-
schen den Elektroden vorbeiströmen, dann werden sie, durch
tive DEP (i.e. for particles showing lower permittivity than the
das elektrische Feld in der Mitte der Elektroden, abgebremst
medium flowing in the microfluidic channel). Indeed, since the
und gestoppt, wenn ein ausreichend hohes DEP-Signal ange-
electric field distribution presents a minimum intensity in the
legt wird (> 10 Vpp bei 100 kHz für Polystyren-Partikel) (Abb.
middle of the structure (Fig. 24), when the flowing particles
24). Dadurch können die Partikel immobilisiert und mittels
pass between the thick microelectrodes, they are slowed down
Millimeterwellen analysiert werden bis das DEP-Signal wieder
and stopped, if the applied DEP signal magnitude is sufficient
ausgeschaltet wird.
(typically > 10 Vpp at 100 kHz for polystyrene bead). Hence the
particle remains immobilized until the DEP signal is turned
off and can be thus characterized at RF and millimeter wave
frequencies.
Das THz-LOC-Projekt wurde kürzlich begonnen und die ersten
The project THz LOC has been recently started and preliminary
Ergebnisse zeigen, dass die BiCMOS-Technologie des IHP erfolg-
successful results of a single particle trapping using DEP forces
reich zum Einfangen und zur Analyse von Zellen angewendet
by the modification of IHP´s standard BiCMOS process has been
werden kann. Die Fähigkeiten zum Messen, zum Auswerten und
performed. The capability of sensing, data processing, and actua-
zum Manipulieren von Zellen innerhalb der BiCMOS-Technologie
tion in microfluidics devices integrated in BiCMOS technology
führt zu innovativen, hochintegrierten und komplexen Lab-on-
leads to innovative and complex lab-on-chip devices in a fully
Chip-Systemen, was innerhalb des Projektes THz-LOC unter-
and highly integrated microsystem, which is planned to be ex-
sucht und erforscht werden soll.
tensively studied within the THz LOC project in the near future.
Abb. 24: Elektrische Feldverteilung erzeugt durch das DEP-Signal (links). Aufnahme des Elektroden-Arrays mit eingefangenem Polystyren-Partikel
(rechts).
Fig. 24: Electrical field distribution generated by the DEP signal (left). The quadrupole electrodes structure photo with single polystyrene microbead
electrically caged (right).
Annua l
54
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Hochfrequenz-CMOS-kompatible direkte
dielektrische Immunosensoren
High-frequency CMOS Compatible Direct
Dielectric Immunosensors
In den vergangenen Jahrzehnten haben sich Enzymimmuntests
Over the last decades, Enzyme Linked Immunosorbent Assays
(ELISAs) zum Standardverfahren in der klinischen Diagnostik
(ELISAs) have been developed as standard methods in clinical
entwickelt. Da das Auslesen lediglich qualitative bis semi-
diagnostics. However, since the readout gives only qualita-
quantitative Ergebnisse liefert, ist die Anwendung zur Diagno-
tive to semi-quantitative results, the application is limited to
se von Krankheiten, die von hohen Änderungen in der Analyt-
diagnose diseases which are accompanied with high changes
konzentration begleitet werden, begrenzt. Im Gegensatz dazu
in analyte concentration. In contrast, antibody-based biosen-
sind antikörperbasierte Biosensoren (Immunosensoren) in der
sors (immunosensors) are able to measure the analyte quan-
Lage, den Analyten quantitativ zu messen. Allerdings erfordern
titatively. However, state-of-the-art immunosensors require
heutige Immunosensoren mehrere Inkubations- oder manuel-
several incubation or manual pipetting steps. Although
le Pipettierschritte. Obwohl automatisierte Immunosensoren
automated immunosensors are being investigated, they suffer
derzeit erforscht werden, leiden sie unter typischen Einschrän-
from typical limitations of labeled sensing, complex front-end
kungen der spezifischen Markierung, komplexen Front-End-
circuits, high cost, etc.
Stromkreisen, hohen Kosten, usw.
In dieser Arbeit präsentieren wir einen direkten CMOS-Hoch-
In this work we present a CMOS high frequency direct immuno-
frequenz-Immunosensor mit einer Arbeitsfrequenz von 6 GHz
sensor operating at 6 GHz (C-band) for label-free determina-
(C-Band) zur markierungsfreien Bestimmung von Kreatinin.
tion of creatinine. The sensor is fabricated in standard 0.13 µm
Der Sensor wird im Standard 0,13-µm-SiGe:C-BiCMOS-Prozess
SiGe:C BiCMOS process. The work also demonstrates the ability
des IHP hergestellt. Die Arbeit demonstriert auch die Fähig-
to immobilize creatinine molecules on Si3N4 passivation layer
keit, Kreatinin-Moleküle auf der Si3N4-Passivierungsschicht
of the standard BiCMOS/CMOS process, therefore, evading any
des Standard-BiCMOS/CMOS-Prozesses zu immobilisieren und
further need of cumbersome post processing of the fabricated
dadurch jede weitere notwendige Nachbearbeitung der herge-
sensor chip (Fig. 25).
stellten Sensorchips zu umgehen (Abb. 25).
Abb. 25: Immobilisierung von Kreatinin-Molekülen auf einem BiCMOS Sensorchip. Das Sensorkonzept zeigt die Bindung von Anti Kreatinin Antikörpern mit immobilisierten Kreatininmolekülen.
Die Konzentration von am Kreatinin gebundenen Antikörpern
ergibt die für die Inkubation verwendete Menge von Kreatinin
(erfasster Parameter).
Fig. 25: Immobilization of creatinine molecules on BiCMOS sensor chip.
The concept of sensing shows binding of anti-creatinine
antibodies with immobilized creatinine. The concentration
of antibodies binding to the creatinine gives the amount of
creatinine (sensed parameter) used for incubation.
An n ual
R ep ort
2015
55
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Der Sensor basiert auf der kapazitiven Detektion der nicht-Kre-
The sensor is based on capacitive detection of the amount of
atinin-gebundenen Antikörper, welche mittels einer immobili-
non-creatinine bound antibodies binding to an immobilized
sierten Kreatininschicht auf dem passivierten Sensor gebunden
creatinine layer on the passivated sensor. The chip bound anti-
sind. Umgekehrt korrespondiert die auf dem Chip gebundene
body amount in turn corresponds indirectly to the creatinine
Antikörpermenge indirekt zur verwendeten Kreatininkonzen-
concentration used in the incubation phase. The determination
tration während der Inkubationsphase. Die Bestimmung von
of creatinine in the concentration range of 0.88 to 880 µM has
Kreatinin im Konzentrationsbereich von 0,88 bis 880 µM wurde
been successfully demonstrated in this work. A sensitivity of 35
in dieser Arbeit erfolgreich demonstriert. Es wurde eine Emp-
MHz/10 fold increase in creatinine concentration (during incu-
findlichkeit von 35 MHz bei 10-facher Steigerung der Kreati-
bation) at the centre frequency of 6 GHz has been manifested
ninkonzentration (während der Inkubation) bei der Mitten-
by the immunosensor.
frequenz von 6 GHz durch die Immunosensoren manifestiert.
Die Ergebnisse wurden mit einer optischen Standardmessme-
The results have been compared with a standard optical
thode verglichen. Der Dynamikbereich und die Empfindlichkeit
measurement technique and the dynamic range and sensitivity
befinden sich in der Größenordnung etablierter optischer Be-
is of the order of established optical indication technique. The
stimmungsmethoden. Der C-Band-Immunosensorchip mit einer
C-band immunosensor chip comprising an area of 0.3 mm2 re-
Fläche von 0,3 mm verringert das erforderliche Probenvolumen
duces the sensing area considerably, therefore, requiring sam-
erheblich, wodurch Volumina von nur 2 µl erforderlich sind.
ple volume as low as 2 µl. The small analyte sample volume and
Das kleine Probenvolumen und der markierungsfreie Ansatz
label-free approach also reduce the experimental costs in ad-
reduzieren die Versuchskosten zusätzlich zu den niedrigen Her-
dition to the low fabrication costs offered by batch fabrication
stellungskosten durch die Serienfertigungstechnik des CMOS/ technique of CMOS/BiCMOS process.
2
BiCMOS-Prozesses.
Die Messergebnisse der Chips mit vier verschiedenen Proben
The measurement results of the chips with four different sam-
von Antikörpern sind in Abb. 26 a dargestellt. Je höher die Kon-
ples of antibody are shown in Fig. 26 a. The higher the con-
zentration von Kreatinin in der Vorinkubation ist, desto gerin-
centration of creatinine in the pre-incubation the lower is the
ger ist die Konzentration der bindenden Antikörper an die im-
concentration of antibody binding to the immobilized creatini-
mobilisierten Kreatininmoleküle auf dem Chip. Die grüne Kurve
ne molecules on the IDC (interdigitated capacitor). The green
zeigt die durchgeführten Messungen mit einem zusätzlichen,
curve shows the measurements done with an additional droplet
auf die Sensorfläche pipettierten Wassertropfen, mit einem un-
of water of approximate volume of 1 µl carefully pipetted on
gefähren Volumen von 1 µl. Wie aus den Messungen ersichtlich,
the sensing area. As seen from the measurements, for each step
ändert sich die Resonanzfrequenz bei jeder Änderung der Kon-
variation of the concentration of the creatinine, the resonant
zentration des Kreatinins um etwa 35 MHz. Bei der höchsten
frequency varies by approximately 35 MHz. With the highest
Kreatininkonzentration in der Inkubationsphase binden sich
creatinine concentration during the incubation phase, the least
geringste Mengen an Antikörper mittels der immobilisierten
amount of antibodies binds to the immobilized creatinine mol-
Kreatininmoleküle auf dem Sensorchip. Die resultierende Re-
ecules on the IDC. Therefore, the resulting resonant frequency
sonanzfrequenz von 5,73 GHz tendiert daher in Richtung der
of 5.73 GHz tends towards the frequency of the oscillator with
Frequenz des Oszillators von reinem Wasser.
pure water on top of it.
Annua l
56
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Die Ergebnisse zeigen, dass Kreatinin mit dem entwickelten
The results show that creatinine can be measured with the de-
dielektrischen CMOS-Hochfrequenzimmunosensor im klinisch
veloped CMOS high frequency dielectric immunosensor in the
relevanten Konzentrationsbereich 0,88 µM bis 88 µM gemessen
clinically relevant concentration range 0.88 µM to 88 µM. The
werden kann. Bezüglich der Sensitivität weisen die elektrischen
electrical measurement results show close agreement in terms
Messergebnisse eine gute Übereinstimmung mit optischen
of sensitivity with optical standard measurement techniques
Standardmessverfahren auf (Abb. 26b). Die Messfähigkeit in der
(Fig. 26 b). The measurement capability in the order of nano-
Größenordnung von nanomolaren Konzentrationsniveaus zeigt,
molar concentration levels shows that such a high frequency
dass ein solcher Hochfrequenzsensor erfolgreich für Messungen
sensor can be successfully used for relevant measurements in
in der klinisch relevanten Diagnostik eingesetzt werden kann.
clinical diagnostics. The measured frequency shift of 35 MHz
Die gemessene Frequenzverschiebung von 35 MHz im klinisch
in the clinically relevant regime of creatinine concentration of
relevanten Regime der Kreatininkonzentration von 0,88 µM bis
0.88 µM to 88 µM is much higher than the effect of process va-
88 µM ist präziser als die Prozessvariationen. Dieses Ergebnis
riation. This result is very significant for future CMOS immuno-
ist wichtig für zukünftige CMOS-Immunosensoren und kann auf
sensors for creatinine and can be adapted to other antigen
andere Antigen-Antikörper-Paare angepasst werden.
antibody couples. Since nanomolar analyte concentrations can
be determined it can be stated that in future the developed
technology can be adapted for other clinically relevant analytes
as well.
Abb. 26 a) Gemessene Änderung der Resonanzfrequenz als Funktion der Kreatininkonzentration, verwendet in der Inkubation von Antikörpern.
b) Optische Messung der Kreatininkonzentration. Der Anstieg der optischen Messergebnisse im Bereich von 0,88 bis 88 µM zeigt den Dynamik bereich der Standard-Messtechnik.
Fig. 26 a)Measured variation of resonant frequency as a function of creatinine concentration used in the incubation of antibodies.
b)Optical measurement of creatinine concentration. The response slope of the optical measurement in the range 0.88 to 88 µM shows the
dynamic range of the standard measurement technique.
An n ual
R ep ort
2015
57
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Nanoheteroepitaxie von hochwertigen nanokristallinen Ge- und III-V-Materialien auf
spitzenstrukturierten Si-Wafern
Nanoheteroepitaxy of High Quality Ge and
III-V Compound Nano-crystals on NanotipPatterned Si Wafers
Das vom IHP koordinierte DFG-DACH-Projekt in Zusammenar-
The DFG DACH project, which is coordinated by IHP in col-
beit mit der ETH Zürich und der EMPA Zürich folgt einem „com-
laboration with ETH Zurich and EMPA Zurich has developed a
plementary-metal-oxide-semiconductor“ (CMOS)-kompatiblen
complementary-metal-oxide-semiconductor (CMOS) compat-
Ansatz mit strukturierten Wafern zum Wachstum hochwertiger
ible patterned wafer approach to grow high quality Ge and III-V
Ge- und III-V-Nanokristalle auf Si. Dies eröffnet einen neuar-
compound nanocrystals on Si. This approach enables a novel
tigen Weg zur heterogenen Integration alternativer Halbleiter
pathway to realize the monolithic, heterogeneous integration
auf Si.
of alternative semiconductors like Ge, GaAs, and InP on Si.
Verglichen mit Si besitzen Ge- und III-V-Verbindungen über-
Compared to Si, Ge and III-V compounds possess superior
legene optoelektronische Eigenschaften (wie z. B. höhere
optoelectronic properties (such as higher carrier mobility and
Ladungsträgermobilitäten und bessere Bandlückeneigen-
direct bandgap properties). Therefore, the monolithic integra-
schaften) für die moderne Mikroelektronik. Deshalb weckt die
tion of Ge and III-V compounds on the mature Si wafer techno-
monolithische Integration von Ge- und III-V-Verbindungen in
logy platform has attracted intensive interests for both “More
ausgereifte Si-Wafertechnologien ein intensives Interesse so-
Moore” (e.g. high mobility channels in MOS field effect transis-
wohl für „More Moore“ (insbes. Kanalmaterial hoher Mobilität
tors) and “More than Moore” (e.g. optoelectronic devices such
für MOS-Feldeffekt-Transistoren) als auch „More than Moore“
as photodetectors, light emitters, etc.) applications.
(Photodetektoren, Lichtemitter usw.) -Anwendungen.
Die größten Hindernisse auf dem Weg zu hochqualitativem,
The major hurdles towards high crystal quality heterostruc-
heteroepitaktischem Kristallwachstum sind durch die kristal-
ture growth are represented by the crystallographic lattice
lographische Gitterfehlanpassung und die unterschiedlichen
mismatch and the coefficient of thermal expansion mismatch,
thermischen Ausdehnungskoeffizienten der epitaktischen Ge / existing between Ge and III-V heteroepilayers with respect to
III-V-Heteroschicht gegenüber dem Si-Substrat gegeben. Wir
the Si substrate. We demonstrate by this new technique the se-
demonstrieren in diesem Projekt eine neue Technik, bei der se-
lective growth of Ge as well as InP and GaAs nanocrystals on na-
lektives Wachstum von Ge- und III-V-Nanokristallen auf nano-
no-tip patterned Si(001) substrates by molecular beam epitaxy
strukturierten Si(001)-Spitzensubstraten sowohl mittels Mole-
(MBE) and chemical vapour deposition (CVD) techniques which
kularstrahlepitaxie (MBE) als auch Gasphasenabscheidung (CVD)
enables fully coherent alternative nanocrystals on Si nano-tips.
realisiert wird, um sowohl kohärente Ge/Si als auch GaAs/Si bzw.
InP/Si Heterostrukturen mit geringer Defektdichte zu erzeugen.
Dieser Ansatz basiert auf der „Nanoheteroepitaxy” (NHE), die
This approach is related to the nanoheteroepitaxy (NHE)
in der Lage ist, die plastische Relaxation in Ge- bzw. III-V-Na-
theory, which is able to prevent plastic relaxation in Ge as well
noinseln auf Si zu verhindern. Die NHE bietet folgende Vorteile:
as III-V nano-islands. NHE offers advantages like i) pure elastic
i) rein elastische Relaxation der Gitterverspannung in drei Di-
relaxation of mismatch strain in three dimensions; ii) isolated
mensionen; ii) isolierte Nukleationskeime vermeiden Wafer-
seeds, avoiding cracking or wafer bowing due to thermal mis-
verbiegung und -brüche durch die thermische Fehlanpassung
match, and iii) compliance effects, permitting strain partition-
und iii) die elastische Nachgiebigkeit ermöglicht eine Verspan-
ing between substrate seed and the heteroepitaxial film.
nungsverteilung zwischen dem Substrat und dem heteroepitaktischen Ge- bzw. III-V-Film.
Annua l
58
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Die nanostrukturierten Si(001)-Spitzen-Wafer wurden unter
The nano-tip patterned Si(001) wafers were fabricated using a
Verwendung einer Standard-CMOS-130-nm-Lithographietech-
standard 130 nm CMOS lithography technology. The Si tips are
nologie am IHP hergestellt. Die Si-Spitzen sind in einem zwei-
arranged in a two-dimensional square array and tips are sur-
dimensionalen Quadrat angeordnet, wobei diese von SiO2 um-
rounded by SiO2 so that the crystalline Si seeds of ~50 nm in
geben sind, sodass kristalline Si-Nukleationskeime von ~50 nm
diameter emerge from the inert SiO 2 surface, as shown in
Durchmesser entstehen. Der selektive Wachstumsmechanismus
Fig. 27.
ist z. B. beim MBE-Wachstum unabhängig vom Spitzenmuster,
da aufgedampftes Material von der inerten SiO2-Oberfläche,
(wie in Abb. 27) instant resorbiert.
Komplett kohärente, wohlgeordnete Ge-Inseln wurden selektiv
Fully coherent, well-ordered Ge islands were selectively grown
auf Si(001)-Nanospitzen gewachsen, wie in Abb. 28 gezeigt.
by MBE on nano-tip patterned Si(001), as shown in Fig. 28. The
Die Form und Größe des strukturierten Si-Substrates und die
particular growth mechanism leads to pattern-independent se-
lokale SiGe-Vermischung am Sockelbereich der Ge-Inseln führt
lectivity. The shape and size of Si patterned substrate and the
zur vollständigen elastischen Relaxation von Ge. Man beobach-
local SiGe intermixed layer, occurring at the pedestal region of
tet weder Versetzungsnetzwerke an der Ge/Si-Grenzfläche oder
the Ge island, lead to completely elastic relaxation of Ge. One
andere Defekte wie Zwillinge und Stapelfehler im Volumen der
observes neither dislocation networks at the Ge/Si interface
Ge-Nanostruktur.
nor other defects like twins or stacking faults in the volume of
the Ge nano-structure.
Abb. 27: Nanostrukturierte Si-Nanospitzen-Wafer (a) schematisches
Bild; (b) und (c) Rasterelektronenmikroskopie (REM)-Bilder.
Fig. 27: Nano-tip patterned Si wafers: (a) schematic image; (b) and
(c) scanning electron microscopy (SEM) images.
Abb. 28: (a) Rasterkraftmikroskopische Aufnahme, (b) und (c) REM Aufnahmen von Ge-Inseln auf Si-Spitzen-Wafern; (d) Trans missionselektronenmikroskopie (TEM)-Bild einer Ge-Insel auf
Si-Spitzen und (e) TEM-Vergrößerung des quadratischen
Bereichs von (d); (f) Finite Elemente Methode Simulation für
das εxx Dehnungsfeld der Verspannungsverteilung in der Ge/Si
Spitzennanostruktur.
Fig. 28: (a) Atomic Force Microscopy image, (b) and (c) SEM images
of Ge islands on the Si tip wafer; (b) Transmission electron
microscopy (TEM) image of a Ge island on Si tip and (c) TEM
figure of the squared part in (d); (f) Finite Element Method
(FEM) simulation for εxx strain map, showing strain distribution
in the Ge / Si tip nanostructure.
An n ual
R ep ort
2015
59
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Abb. 29 zeigt, dass versetzungsfreie Ge-Inseln hochleistungs-
Fig. 29 indicates that dislocation-free Ge islands lead to high
fähige Photodetektoren durch Hybrid Monolagen Graphen/Ge/
performance photodetectors composed of hybrid Single Layer
Si-Spitzen Schottky-Übergänge ermöglichen.
Graphene (SLG)/Ge/Si-tip Schottky junctions.
InP-Nanokristalle mit geringer Defektdichte wurden ebenfalls
InP nanocrystals with low defect density were also heteroepi-
heteroepitaktisch auf Si-Spitzen-Wafern gewachsen, wie in
taxially grown on Si-tip wafers, as displayed in Fig. 30. They
Abb. 30 dargestellt. Sie zeigen hoch selektives Wachstum und
show highly selective and well-ordered features and temper-
gut geordnete Merkmale. Temperaturabhängige Photolumines-
ature dependent photoluminescence demonstrate their sub-
zenz-Messungen demonstrieren substratsähnliche optische Ei-
strate-like optic properties with a direct bandgap of ~1.34 eV.
genschaften mit einer direkten Bandlücke von ca. 1,34 eV.
Abb. 29: (a) REM-Bild mit einer Graphen bedeckten Ge-Insel auf
Si-Wafer-Spitzen und (b) I-V Eigenschaften der SLG/Ge/Si Spitzen-Heterostruktur mit und ohne 532 nm Laser beleuchtung.
Fig. 29: (a) SEM image of a graphene-covered Ge island on Si-tip wafer
and (b) I-V characteristics of SLG/Ge/Si-tip heterostructure
with or without 532 nm laser illumination.
Annua l
60
Re po r t
2015
Abb. 30: (a), (b) SEM und (c) TEM-Aufnahmen von InP-Nanoinseln
selektiv auf dem Si-Wafer Spitzen gewachsen; (d) temperatur abhängige PL Ergebnisse der InP-Inseln/Si-Spitzen-Hetero struktur mit 532 nm Laserbeleuchtung.
Fig. 30: (a), (b) SEM and (c) TEM images of InP nanoislands selectively
grown on the Si-tip wafer; (d) temperature dependent PL
results using 532 nm laser of InP islands/Si-tip heterostructure.
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Stöchiometrie von Sauerstoffpräzipitaten
und ihr Gettermechanismus für Kupferverunreinigungen
Stoichiometry of Oxygen Precipitates
and their Gettering Mechanism for Copper
Impurities
Die intrinsische Getterung von metallischen Verunreinigungen
Internal gettering of metallic impurities utilizing oxygen pre-
durch Sauerstoffpräzipitate ist eine häufig genutzte Methode in
cipitates is frequently used in semiconductor manufacturing to
der Halbleiterindustrie, um Ausbeuteverluste durch zufällige Me-
avoid yield losses due to accidental metal contamination. The
tallkontamination zu vermeiden. Das Ziel dieser Arbeit war es, den
objective of this work was to elucidate the getter mechanism
Gettermechanismus der Sauerstoffpräzipitate für Kupferverunreini-
of oxygen precipitates for copper impurities which has been
gungen aufzuklären, der seit Jahrzehnten debattiert wird. Einer der
under debate for decades. One of the reasons why the princi-
Gründe, warum der grundlegende Gettermechanismus von Kupfer an
pal gettering mechanism of copper at oxide precipitates is not
Sauerstoffpräzipitaten noch nicht aufgeklärt ist, liegt darin, dass es
yet clarified is that it was not possible to identify the presence
noch nicht möglich war, die Kupferkonzentration in der Umgebung
and measure the copper concentration in the vicinity of oxide
der Sauerstoffpräzipitate zu messen, weil die Empfindlichkeit von
precipitates because the sensitivity of techniques with suitable
Techniken mit ausreichender räumlicher Auflösung, wie z. B. die der
spatial resolution, like energy dispersive x-ray (EDX) analysis, is
energiedispersiven Röntgenanalyse (EDX), viel zu niedrig ist.
much too low.
Auch die Stöchiometrie der amorphen Sauerstoffpräzipitate, SiOx ,
Also, the stoichiometry of the amorphous oxygen precipitates,
wird seit langem debattiert. Dabei favorisiert ein Teil der Publika-
SiOx, has been debated for a long time. Whereby, one part of the
tionen, dass x eher 2 ist, während der andere Teil die Stöchiometrie
publications favor x being rather 2 while the other part sup-
eines Suboxides mit x nahe 1 unterstützt.
ports the stoichiometry of a suboxide with x being close to 1.
Die Strategie dieser Arbeit bestand darin, eine 14,5 nm dicke
The strategy of this work was to use a 14.5 nm thick oxide layer
Oxidschicht als Modellsystem zu nutzen, an dem durch Time-
as a model system on which to detect by time of flight seconda-
of-flight Sekundärionenmassenspektrometrie (ToF-SIMS) un-
ry ion mass spectrometry (ToF-SIMS) where copper from a suit-
tersucht wurde, wo Kupfer aus einer entsprechenden Übersätti-
ably generated supersaturated solution is collected. We also
gung angesammelt wird. Wir haben auch ein plättchenförmiges
analyzed a plate-like oxide precipitate by EDX investigation and
Sauerstoffpräzipitat mit EDX und Elektronenenergieverlust-
electron energy loss spectrometry (EELS) in the preliminary hy-
spektroskopie (EELS) untersucht, in der vorläufigen Hypo-
pothesis that the interface of our model system is structurally
these, dass die Grenzfläche unseres Modellsystems strukturell
and chemically similar to that of an oxygen precipitate. These
und chemisch der des Sauerstoffpräzipitates entspricht. Diese
investigations enabled us to draw conclusions about both the
Untersuchungen haben es uns ermöglicht, Schlussfolgerungen
nature of the gettering sink of copper at oxide precipitates and
sowohl über die Art der Gettersenke für Kupfer an Sauerstoff-
their stoichiometry.
präzipitaten als auch über ihre Stöchiometrie zu ziehen.
An n ual
R ep ort
2015
61
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Die Tiefenprofile von Kupfer- und Sauerstoffatomen in einem oxi-
The depth profiles of copper and oxygen atoms in an oxidized
dierten Siliziumwafer, der absichtlich mit Kupfer kontaminiert wurde
silicon wafer intentionally contaminated with copper analyzed
und sowohl nach dem Eintreiben des Kupfers bei 500 °C als auch
by ToF-SIMS after drive-in anneal at 500 °C and again after 70
nach 70 Tagen mittels ToF-SIMS untersucht wurde (Abb. 31), de-
days shown in Fig. 31, demonstrate that the copper is collected
monstrieren, dass Kupfer am Rand der Oxidschicht nahe des Silizi-
at the edge of the oxide layer very close to the silicon substrate.
umsubstrats angesammelt wird.
An dieser Stelle wurden vor allem gesputterte Moleküle mit einem
At this position mainly sputter molecules with low silicon / oxy-
kleinen Silizium/Sauerstoff-Verhältnis gefunden, was auf die Zusam-
gen ratio were found indicating the composition of a suboxide
mensetzung eines Suboxides in diesem Bereich hinweist.
in this region.
Abb. 31: Tiefenprofil von Kupfer und Sauerstoff an einem oxidierten Siliziumwafer, der absichtlich mit Kupfer kontaminiert wurde und nach dem
Eintreiben bei 500 °C mit ToF-SIMS unter Nutzung einer Sauerstoff-Sputterquelle (oben) und eine Cäsium-Sputterquelle (unten) untersucht
wurde. Das Kupferprofil wurde nochmal nach 70 Tagen gemessen. Die Counts wurden in Bezug auf die Counts von 30Si+ normiert.
Fig. 31: Depth profile of copper and oxygen in an oxidized silicon wafer intentionally contaminated with copper analyzed by ToF-SIMS after drive-in
at 500 °C using an oxygen sputter source (top) and a cesium sputter source (bottom). The copper profile was measured again after 70 days.
The counts were normalized with respect to the counts of 30Si+.
Annua l
62
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Abb. 32 zeigt das untersuchte plättchenförmige Sauerstoffpräzipi-
Fig. 32 shows the plate-like oxide precipitate investigated.
tat. EELS-Spektren wurden alle 0,4 nm entlang der angezeigten Linie
EELS spectra were taken every 0.4 nm along the line indicated
und auf die gleiche Weise alle 0,57 nm entlang einer Linie über die
and in the same way every 0.57 nm across the interface between
Grenzfläche zwischen Oxidschicht und Siliziumwafer aufgenommen.
the oxide layer and the silicon wafer. The comparison of the
Den Vergleich der EELS-Spektren, aufgenommen am Sauerstoffprä-
EELS spectra taken on the oxide precipitate and on the oxidized
zipitat und an der oxidierten Siliziumscheibe, kann man in Abb.
silicon wafer can be found in Fig. 33 (top row). In both cases
33 (obere Reihe) finden. In beiden Fällen ist eine deutliche Ver-
a clear shift of the energy loss of the plasmon peak can be ob-
schiebung des Energieverlusts der Plasmonenpeaks zu beobachten,
served ranging from pure silicon (16.7 meV) via SiO (20 meV)
die von reinem Silizium (16,7 meV) über SiO (20 meV) bis zu SiO2
to SiO2 (23 meV). From the deconvolution of the spectra, the
(23 meV) reicht. Durch Dekonvolution der Spektren wurde für jede
ratio of the plasmon intensity was determined at the position
Messposition das Verhältnis der Plasmonenintensitäten bestimmt
of each spectrum (Fig. 33 bottom). It can be seen that both
(Abb. 33 unten). Man kann sehen, dass sowohl die Grenzfläche der
the interface of the oxide layer on silicon and the interface
Oxidschicht auf dem Siliziumsubstrat als auch die Grenzfläche zwi-
between oxide precipitate and the silicon matrix consist of a
schen Sauerstoffpräzipitat und der Siliziummatrix aus einer 2 bis
2-3 nm thick SiO layer. The copper contamination experiments
3 nm dicken SiO-Schicht bestehen. Die Experimente mit der Kup-
described above showed that copper segregates to the SiO
ferkontamination, die oben beschrieben wurden, haben gezeigt,
interface layer of the thermal oxide. From this behaviour and
dass Kupfer an der SiO-Grenzflächenschicht des thermischen Oxids
the similar nature of the interface it is concluded that get-
segregiert. Aus diesem Verhalten und der gleichen Beschaffenheit
tering of copper in concentrations relevant for microelectronics
der Grenzfläche kann geschlussfolgert werden, dass die Getterung
by oxide precipitates is based on segregation of copper to the
mikroelektronikrelevanter Konzentrationen von Kupfer durch Sauer-
SiO interface layer.
stoffpräzipitate auf der Segregation an der SiO-Grenzflächenschicht
basiert.
Abb. 32: STEM Hellfeld-Aufnahme eines plättchenförmigen Sauerstoffpräzipitats.
Fig. 32: Bright field STEM image of a plate-like oxide precipitate.
An n ual
R ep ort
2015
63
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Abb. 33: EELS Spektren vom Plasmonenverlust aufgenommen alle 0,4 nm entlang einer Linie über die Grenzfläche zwischen einem 14,5 nm
thermischen Oxid und dem Siliziumsubstrat (oben, rechts) und alle 0,57 nm entlang einer Linie über die Grenzfläche zwischen einem
plättchenförmigen Sauerstoffpräzipitat und der umgebenden Siliziummatrix (oben, links). Verhältnis der Plasmonenintensität von Si,
SiO und SiO2 dargestellt als Funktion der Position auf der jeweiligen Linie über die Grenzfläche (unten).
Fig. 33: EELS spectra of the plasmon loss recorded every 0.4 nm along a line across the interface between a 14.5 nm thick thermal oxide and the
silicon substrate (top,right) and every 0.57 nm along a line across the interface between a plate-like oxide precipitate and the surrounding
silicon matrix (top,left). Ratio of the plasmon intensity of Si, SiO and SiO2 plotted as a function of the position on the respective lines
across the interfaces (bottom).
Annua l
64
Re po r t
2015
Ausge w ä h l t e
P r o jek t e
–
S e l ec t e d
P r o jec t s
Die EELS-Spektren des plättchenförmigen Sauerstoffpräzipitats in
The EELS spectra of the plate-like oxygen precipitate in Fig. 33
Abb. 33 demonstrieren bereits, dass das Volumen des Präzipitats
already demonstrate that the bulk of the precipitate consists
aus SiO2 besteht. Zusätzlich haben wir die Zusammensetzung eines
of SiO2. In addition, we analyzed the composition of an oxygen
oktaedrischen Sauerstoffpräzipitats mit EDX untersucht. Mit einer
precipitate of different shape by EDX. With a SiO2 layer as a ref-
Oxidschicht als Referenzprobe wurde eine quantitative EDX-Analyse
erence sample, a quantitative EDX analysis of the oxygen pre-
des Sauerstoffpräzipitats durchgeführt. Die Ergebnisse in Abb. 34
cipitate was carried out at TEM foils of nearly equal thickness.
zeigen, dass die Stöchiometrie im Inneren des Sauerstoffpräzipitats
The results in Fig. 34 show that the stoichiometry of the oxy-
der von SiO2 entspricht. Zusätzliche EELS-Messungen der charakte-
gen precipitate in the bulk corresponds to SiO2. Additional EELS
ristischen Verlustenergie der Plasmonen haben bestätigt, dass das
measurements of the characteristic plasmon loss energy con-
oktaedrische Sauerstoffpräzipitat aus amorphem SiO2 besteht.
firmed that the oxygen precipitate consists of amorphous SiO2.
Abb. 34: STEM Hellfeld-Aufnahme (a) und EDX-Map (b) eines
Sauerstoffpräzipitats. STEM Hellfeld-Aufnahme einer
Oxidschicht (c) und Si- und O-Konzentration an den
jeweiligen Grenzflächen.
Fig. 34: STEM bright field image (a) and EDX map (b) of an oxygen
precipitate, BF image of an oxide layer (c) and Si and O
concentrations across the corresponding interfaces (d).
An n ual
R ep ort
2015
65
Joint Labs
Annua l
66
Re po r t
2015
G emei n s a me
L a b o re
–
J o i n t
L abs
Joint Labs
Joint Labs
Das Bündeln von Potenzialen, die Förderung von Nachwuchs-
Combined capabilities, training of future specialists and a
wissenschaftlerinnen und Nachwuchswissenschaftlern sowie
bridge between industry, applied research at the institute, and
die Brücke zwischen Industrie, anwendungsnaher Forschung
the education and research at universities – These are the fac-
am IHP und der Ausbildung und Forschung an Universitäten
tors for the success of our Joint Labs. Since 2000, the concept
und Hochschulen – das sind die Erfolgsfaktoren der Joint Labs.
is constantly evolving. Other institutions have already adapted
Das Konzept wird seit dem Jahr 2000 ständig weiterentwickelt,
these ideas.
längst haben andere Forschungseinrichtungen die IHP-Idee in
ihrem Sinne adaptiert.
2015 baute das IHP die enge Zusammenarbeit mit regionalen
In 2015, IHP extended the cooperation with regional academic
Hochschuleinrichtungen aus. Im Januar eröffnete das Joint
institutions. In January, a new Joint Lab with the University
Lab mit der Universität Potsdam. Am Standort Campus Grieb-
of Potsdam was opened. At campus “Griebnitzsee”, IHP does
nitzsee wird gemeinsam mit dem Institut für Informatik und
research together with the department of Computer Science
Computational Science (IFI) zum Thema „Entwurf von Draht-
(IFI). The topic of the Joint Lab is “Wireless and Embedded
losen und Eingebetteten Systemen“ geforscht. Das IHP betreibt
System Design”. IHP runs offices and a hardware laboratory
zusammen mit dem IFI Büro- und Laborräume in einem Neubau
together with the computer science department right next to
direkt neben dem Gebäude des Instituts für Informatik. Das
the computer science department building. The hardware la-
Hardwarelabor wird für Lehre und Forschung durch Studierende,
boratory is used by both institutions for teaching and doing
sowie Mitarbeiterinnen und Mitarbeiter beider Einrichtungen
research, in particular for joint projects.
genutzt, insbesondere für die gemeinsamen Projekte.
Die BTU Cottbus-Senftenberg ist seit vielen Jahren ein wichtiger
For a long time, the BTU Cottbus-Senftenberg has been an im-
Partner im Bereich Bildung und Forschung. Das Joint Lab „Ma-
portant partner in the field of education and research. The topi-
terialforschung“, als erstes Joint Lab im Jahr 2000 gegründet,
cal focus of the Joint Lab „Materials Research“, established as
hat sich in den vergangenen Jahren thematisch von den Schwer-
the first Joint Lab in 2000, has shifted away from the core sub-
punkten des IHP entfernt. Nach 15 Jahren entschieden sich die
jects of IHP. After 15 years, the two institutions therefore de-
beiden Einrichtungen daher, das Joint Lab zu schließen. Bereits
cided to close the Joint Lab. In May 2015, a new Joint Lab with
im Mai 2015 konnte feierlich ein neues Joint Lab eröffnet wer-
the topic “Dependable Sensor Networks” was opened. Hereby,
den. Die langfristige Partnerschaft bekommt mit dem Thema
the long-term partnership gains a new direction, thus securing
„Dependable Sensor Networks“ eine neue Ausrichtung und si-
the future research on relevant areas such as industry 4.0 and
chert so auch zukünftig die Forschung zu relevanten Bereichen
the Internet of Things.
wie Industrie 4.0 und dem Internet der Dinge. Extrem zuverlässige IT-Strukturen werden weiter erforscht und ihre Ergebnisse
der Industrie zur Verfügung gestellt.
Einen neuen Leiter von Seiten des IHP hat das Joint Lab mit der
IHP sends a new head to the Joint Lab with the University of
Technischen Hochschule Wildau: Dr. Andreas Mai übernahm im
Applied Science Wildau: Dr. Andreas Mai took on the task in Oc-
Oktober diese Aufgabe. Seit 2006 sind regelmäßig Studierende
tober. Since 2006, students of UAS Wildau come to IHP - for
der TH Wildau am IHP zu Gast – für Praktika, um ihre Abschluss-
internships, to write their theses or to participate in the sum-
arbeiten zu schreiben oder an der Sommerschule teilzunehmen.
mer school. The attractiveness of the entire region of Berlin-
Die Attraktivität der gesamten Wissenschaftsregion Berlin-
Brandenburg is strengthened through this cooperation.
Brandenburg wird durch die Kooperation gestärkt.
An n ual
R ep ort
2015
67
G emei n s a me
L abo r E
–
J o i n t
L abS
Joint Lab IHP / BTU Cottbus-Senftenberg
Dependable Sensor Networks | Dependable Sensor Networks
Kontakt | Contact
Partner | Partner
Gründung und Eröffnung | Opening
Ausgewählte Projekte | Selected projects
Internetseite | Homepage Prof. Dr. Peter Langendörfer (IHP)
Prof. Dr. Heinrich Theodor Vierhaus (BTU)
Brandenburgische Technische Universität Cottbus-Senftenberg
Institut für Informatik | Institut of Computer Science
2014 / 2015
ParSec: www.parsec-projekt.de
www.ihp-microelectronics.com/btu
Das 2014 gegründete und im Frühjahr 2015 offiziell eröffnete
The Joint Lab was founded in 2014, the official opening took
Joint Lab untersucht zuverlässige und sichere, verteilte Syste-
place in 2015. It focuses on the dependability and security of
me mit einem Schwerpunkt auf zeitkritische Systeme. In den
distributed computer systems with an emphasis on the role of
vergangenen Jahren rückten verteilte Systeme und sensorba-
timing constraints. In recent years, the technology of distribut-
sierte Netze in den weltweiten Fokus des Forschungsinteresses.
ed computer- and sensor-based systems has become a research
Sie bestehen aus Computern und Netzwerkverbindungen, die
interest around the world. Such systems incorporate computers
zur Kontrolle und Signalverarbeitung eingesetzt werden.
and computer networks that perform monitoring and signal
processing in a real-world environment.
Die in der Literatur häufig als „Cyber Physical Systems“ be-
The real-world environment in which these often called “cyber
zeichneten Systeme sind typischer Weise in Umgebungen
physical systems” function is typically characterized by real-
mit hohen Realzeitanforderungen zu finden und besitzen die
time constraints and by the need to handle exceptional situa-
Fähigkeit, Ausnahmezustände und Fehler in sicherheitskri-
tions and error conditions in safety critical situations. At the
tischen Anwendungen zu bearbeiten. Gleichzeitig werden die
same time, the reliability requirements of computer systems in
Zuverlässigkeitsanforderungen an verteilte Computersysteme
e.g. smart power grids, air traffic control, automotive control,
wie beispielsweise in Anwendungen von „Smart Power Grids“,
and in medical- and environmental monitoring are of grow-
Flugsicherheitskontrolle, der Steuerung von Fahrzeugen, in der
ing importance. Error management in these systems generally
Medizin und nicht zuletzt im Umweltmonitoring immer höher.
induces considerable power-consumption and hardware over-
Die Fehlerbehandlung in solchen Systemen verursacht signifi-
head, especially if the error management has to be performed in
kanten zusätzlichen Stromverbrauch und bedarf zusätzlicher
a short time. Error management must be performed at minimum
Hardware, insbesondere wenn die Fehlerbehandlung in extrem
cost and overhead; security aspects may have a further impact,
kurzer Zeit erfolgen muss. Auf der einen Seite muss ein aus-
requiring system design approaches that consider dependabili-
reichendes Niveau an Zuverlässigkeit mit minimalem Aufwand
ty and security in close combination.
erreicht werden, andererseits müssen Schutzfunktionen für die
Sicherheit realisiert werden.
Die Eröffnung des Joint Labs fand am 5. Mai 2015 am Zentralcampus der
BTU in Cottbus statt. Prof. Dr. Peter Langendörfer zeigte einen Sensorknoten, dessen Entwicklung in Soft- und Hardware ein Themenkomplex
des Joint Labs ist.
The opening of the Joint Lab took place at the Central Campus of the
BTU in Cottbus on May 5, 2015. Prof. Peter Langendörfer presented a
sensor node whose development in software and hardware is one topic
of the Joint Lab.
© BTU Cottbus-Senftenberg
Annua l
68
Re po r t
2015
G emei n s a me
L abo r E
–
J o i n t
L abS
Joint Lab IHP / HU-Berlin
Drahtlose Breitbandkommunikationssysteme | Wireless Communication Systems
Kontakt | Contact
Partner | Partner
Gründung und Eröffnung | Opening Ausgewählte Projekte | Selected Projects
Internetseite | Homepage
Prof. Dr. Eckhard Grass (IHP)
Dr. Frank Winkler (HU Berlin)
Humboldt Universität zu Berlin
Institut für Informatik | Department of Computer Science
2011 / 2012
maximumMIMO: www.wireless100gb.de; ParSec, EuRISCOSi
www.ihp-hub-bb.jointlab.de
Zum Joint Lab gehören die Lehre der Grundlagen der Nach-
One main aspect of the Joint Lab is teaching the basics of
richtentechnik sowie das Eingehen auf spezielle aktuelle
communications engineering as well as responding to recent
Entwicklungen und Standards. Der wachsende Anteil an mul-
developments and standards. The growing percentage of smart-
timediafähigen Smartphones führt zu einem rasanten Anstieg
phones and other wireless devices results in a dramatic increase
der notwendigen Übertragungsraten für mobile Endgeräte.
of required datarate. Algorithms, architectures, and implemen-
Ausgehend von den Kanaleigenschaften werden Algorithmen,
tation aspects of wireless communication systems are present-
Architekturen und Implementierungsaspekte für drahtlose
ed based on wireless channel characteristics. The students are
Kommunikationssysteme mit höchsten Datenraten erörtert. Die
introduced to the development and implementation of wireless
Studierenden werden an den Entwurf und die Implementierung
systems. The content of the lecture is practically used in a lab
von drahtlosen Kommunikationssystemen herangeführt. Das in
class and applied to specific problems in an exercise.
der Vorlesung vermittelte Wissen wird im Praktikum angewendet und an konkreten Beispielen in einer Übung vertieft.
Neben der Lehre charakterisieren Projekte die Arbeit des Joint
Another aspect is the work in projects. Security enhancements
Labs. Sicherheitsaspekte für industrietaugliche WLAN-Netze
for future industrial WLAN are the focus of “ParSec”, a BMBF-
sind der Fokus von „ParSec“, einem BMBF-Projekt aus dem Be-
funded project. Since mid-2015, IHP and HU are conducting
reich „Zuverlässige Kommunikation in der Industrie“. Seit Mitte
research together in this project. The DFG-Project “maximum-
2015 forschen HU und IHP gemeinsam in diesem Projekt. Fort-
MIMO”, which concentrates on increasing the spectral efficien-
gesetzt wurde die Arbeit an dem DFG-Projekt „maximumMIMO“,
cy using massive MIMO technology in conjunction with high-
bei dem es um die Erhöhung der spektralen Effizienz durch den
resolution phased array antenna topologies, was continued.
Einsatz von massive-MIMO-Technologien und hochauflösender
IHP and Humboldt University are partners in the collaborative
phased-array Antennentopologie geht. Das IHP und die HU sind
project EuRISCOSi which is coordinated by FH-Brandenburg.
als Partner in dem von der TH Brandenburg koordinierten Pro-
Topics are ultra-fast circuits for applications in wireless com-
jekt „EuRISCOSi“ eingebunden, das ultra-schnelle Schaltungen
munications and sensors.
für Anwendungen im Bereich drahtloser Kommunikation und
Sensorik zum Thema hat.
Dr. Markus Petri zeigt Doktoranden der HU die Funktionsweise des
60-GHz-Demonstrators des IHP.
Dr. Markus Petri explains to PhD students of HU the functionality of
IHP´s 60 GHz demonstrator.
An n ual
R ep ort
2015
69
G emei n s a me
L abo r E
–
J o i n t
L abS
Joint Lab IHP / Universität Potsdam
Entwurf von Drahtlosen und Eingebetteten Systemen | Wireless and Embedded System Design
Kontakt | Contact
Partner | Partner
Gründung und Eröffnung | Opening Ausgewählte Projekte | Selected Projects
Internetseite | Homepage
Prof. Dr. Rolf Kraemer (IHP)
Prof. Dr. B. Schnor (UP)
Universität Potsdam, Institut für Informatik und
Computational Science
2014 / 2015
DIAMANT: www.diamant-projekt.de
www.ihp-microelectronics.com/up
Der Einsatz drahtlos kommunizierender, eingebetteter Systeme
Nowadays embedded systems can be found everywhere. The use
hat im Zuge der Digitalisierung aller Lebensbereiche rasant zu-
of wireless embedded systems is rapidly increasing with the ad-
genommen. Entsprechend vielfältig sind die Anforderungen an
vent of ubiquitous computing. In accordance with the broad
diese Systeme. Zu den thematischen Schwerpunkten des Joint
variety of applications, the requirements are very diverse and
Lab gehört daher die Entwicklung von Methoden und Werkzeu-
require application or domain specific solutions. Therefore, the
gen, die einen effizienten Entwurf hochkomplexer aber den-
research on and development of methods and tools for support-
noch energieeffizienter und zuverlässiger Systeme erlauben.
ing an efficient development process of complex energy efficient and reliable embedded systems, including sensor networks, are a main focus of the Joint Lab.
Im Rahmen des DIAMANT-Projektes sind Studierende in ak-
In the DIAMANT project, students contributed to current re-
tuelle Forschungsarbeit eingebunden. Gemeinsam wurden an
search projects such as the development of fault tolerance
Methoden für fehlertolerante eingebettete Speicher, an ei-
methods for embedded memories. Moreover, the Joint Lab is
ner Middleware-Plattform für die Entwicklung von portablen
active in developing middle-ware platforms for programming
Anwendungen für das Internet der Dinge und gemeinsam mit
portable Internet of things applications and, in cooperation
einem regionalen Unternehmen an Werkzeugen für ein ver-
with a local company, a distributed network monitoring tool.
teiltes Netzwerkmonitoring gearbeitet. Die Zusammenarbeit
The collaboration also led to valuable results; in 2015 a jour-
führte im Bereich fehlertoleranter Verfahren für das Chip-Design
nal publication in the field of fault tolerance methods for chip
zu wertvollen Ergebnissen, einschließlich einer gemeinsamen
design was finished. Another part of the Joint Lab is teaching.
Journal-Veröffentlichung. Im Bereich der Lehre bekamen die
Lectures about fundamentals of embedded system design,
Studierenden in Vorlesungen Grundlagen aus dem Bereich des
hardware-/software-co-design, and processor architecture were
Entwurfs eingebetteter und digitaler Systeme, dem Hardware-/
held. Students could strengthen their knowledge in the hard-
Software-Co-Design und der Architektur von Prozessoren und
ware lab of the Joint Lab.
Rechnersystemen vermittelt. In Praktika wurde dieses Wissen
im Hardwarelabor des Joint Lab angewendet und vertieft.
Eröffnung des Joint Lab 2015 mit Vorträgen zahlreicher eingeladener
Referenten.
Opening of the Joint Lab with presentations of numerous invited
speakers.
Annua l
70
Re po r t
2015
G emei n s a me
L abo r E
–
J o i n t
L abS
Joint Lab IHP / TU Berlin
Bioelektronik | Bioelectronics
Kontakt | Contact
Partner | Partner
Gründung und Eröffnung | Opening Ausgewählte Projekte | Selected Projects
Internetseite | Homepage
Dr. Mario Birkholz (IHP)
Prof. Dr. Peter Neubauer (TU Berlin)
Technische Universität Berlin, Institut für Biotechnologie
2012 / 2013
Bioprozesstechnik
www.ihp-microelectronics.com/jlbioelectronics
Mit der Gründung des Joint Lab Bioelectronics wurde der Ent-
The foundation of the Joint Lab Bioelectronics was triggered by
wicklung Rechnung getragen, dass Mikroelektronikchips zuneh-
the development that micro-electronic chips are increasingly
mend Anwendung finden in Biosensoren, Implantaten, Lab-on-
applied in biosensors, implants, lab-on-chip systems and diag-
Chip-Systemen und diagnostischen Plattformen sowie anderen
nostic platforms as well as in various other medical and bio-
Systemen der Biotechnologie und Medizin. Die dort auftre-
technological systems. However, biological environments are
tenden Einsatzumgebungen sind mit einem speziellen Bean-
related to specific loading profiles and require special measures
spruchungsspektrum verbunden und erfordern besondere Maß-
for enclosure and operation of micro-chips. Common activities
nahmen zur Einhausung und beim Betrieb von Mikrochips. Die
with the TU Berlin, mostly the Institute for Biotechnology, are
gemeinsamen Aktivitäten mit der TU, vorrangig mit dem Fach-
related to the monitoring of bioprocesses by virtue of micro-
bereich Bioverfahrenstechnik, sind auf die Überwachung von
electronic sensors.
Bioprozessen mit mikroelektronischen Sensoren ausgerichtet.
In 2015 kamen zwei studentische Arbeiten zum Monitoring von
In 2015, student theses on the monitoring of cell densities with
Zelldichten mit einem HF-Impedanzmesschip und zur Nutzung
a HF impedance measuring chip and on the use of enzyme sen-
von Enzymsensoren auf der Plattform eines Pipettierroboters
sors within the platform of a pipetting robot were carried out.
zum Abschluss. Die Bilder zeigen die entworfene Einzellochplat-
The pictures display a prototype single-well plate for proof-of-
te sowie die Konstruktion eines Multiwell-Arrays zur parallelen
principle tests and the construction of a multiwell array for the
Bestimmung niedermolekularer Metabolite in Hochdurchsatz-
parallel determination of low molecular weight metabolites for
Experimenten. Die im Sommersemester regelmäßig an der TU
high-throughput experimentation. The regularly held course
Berlin abgehaltene Lehrveranstaltung zur Bioelektronik wurde
“Introduction to Bioelectronics” at TU Berlin was supplement-
in 2015 durch einen praktischen Block ergänzt, in dessen Rah-
ed during the summer semester 2015 by a practical block, in
men die Studierenden den Entwurf bioelektronischer Systeme
which participants learned and applied the design of bioelec-
mit Hilfe eines 3D-CAD-Programms erlernten und anwandten.
tronic systems with the help of a 3D CAD program.
.
Einzellochplatte im Format einer Mikrotiterplatte für Zelldichtemessung;
Multiparameter-Sensorplatte zum Monitoring von Hochdurchsatz-Experimenten mit enzymatischen Assays
Single-well plate as microtiter plate for cell density measurements;
Multiwell array for the monitoring of high-throughput experiments with
enzymatic assays
An n ual
R ep ort
2015
71
G emei n s a me
L abo r E
–
J o i n t
L abS
Joint Lab IHP / Sabanci University
More-than-Moore
Kontakt | Contact
Partner | Partner
Gründung und Eröffnung | Opening Internetseite | Homepage
Dr. Mehmet Kaynak (IHP)
Prof. Yasar Gurbuz (Sabanci University)
Sabanci University, Istanbul
2014
www.ihp-microelectronics.com/sabanci
Unter dem Motto „More-than-Moore“ bündelt das Joint Lab
With its motto “More-than-Moore”, the Joint Lab bundles
grenzüberschreitend das Know-how der beiden akademischen
cross-border the expertise of both academic institutions and
Institutionen und verstärkt den bestehenden Wissenstransfer.
reinforces the existing knowledge transfer. The focus is on the
Der Schwerpunkt liegt in der Erforschung und Entwicklung von
exploration and development of integrated circuits, compo-
integrierten Schaltungen, Komponenten, MEMS (Mikroelek-
nents, MEMS (Micro Electro Mechanical Systems), NEMS (Nano
tromechanische Systeme), NEMS (Nanoelektromechanische
Electro Mechanical Systems), and micro-nano-electronics. The
Systeme) und Mikro-Nano-Elektronik. Die wichtigsten Ziele
main objectives are extending the research capabilities by
sind die Erweiterung der Forschung durch einen ergänzenden
using a complementary research approach, increasing interna-
Ansatz, die Erhöhung der internationalen Sichtbarkeit, die För-
tional visibility, attracting excellent students and researchers,
derung von exzellenten Studierenden sowie die Überführung
and bringing in high level teaching based on advanced IHP and
der Forschungsthemen der beiden Einrichtungen in Lehrveran-
Sabanci‘s research topics. Furthermore, supported by the tech-
staltungen mit hohem Niveau. Darüber hinaus trägt das durch
nological infrastructure and know-how of both institutions,
technologische Infrastruktur und Wissen unterstützte „Center
the “Center of Excellence” will help to demonstrate successful
of Excellence“ dazu bei, erfolgreiche deutsch-türkische Zusam-
German-Turkish cooperation.
menarbeit zu demonstrieren.
Im Jahr 2015 warb das Joint Lab beim türkischen TUBITAK
In 2015, the Joint Lab has successfully submitted two research
erfolgreich zwei Forschungsprojekte zu den Themen RF-MEMS
projects to TUBITAK on the topics of RF MEMS and Microbolo-
und Mikrobolometer ein. Sie bieten die Möglichkeit, die ver-
meters which offers the opportunity to combine the different
schiedenen Fähigkeiten der einzelnen Partner in aktuelle wis-
skills of each party for state-of-the-art scientific results. There-
senschaftliche Ergebnisse zu überführen. Fünf Studierende ab-
fore, five students did an internship at IHP for three months
solvierten für drei Monate ein Praktikum am IHP, forschten an
with research on the strategic topics and contribution in the
den strategischen Themen und in den gemeinsamen Projekten.
projects. The academic part of the Joint Lab during the fall se-
Der akademische Teil des Joint Lab enthielt im Herbstsemester
mester 2015/2016 included the course “Microelectronics Fabri-
2015/2016 den Kurs „Fertigung von Mikroelektronik“, durchge-
cation”, held by Dr. Mehmet Kaynak (IHP).
führt von Dr. Mehmet Kaynak (IHP).
Annua l
72
Re po r t
2015
G emei n s a me
L abo r E
–
J o i n t
L abS
Joint Lab IHP / PUT
Połczyć – Interfacing – Verbinden
Kontakt | Contact
Partner | Partner
Gründung und Eröffnung | Opening Ausgewählte Projekte | Selected Projects
Internetseite | Homepage
Prof. Dr. Thomas Schröder (IHP)
Prof Dr. Ryszard Czajka (PUT)
Poznań University of Technology (PUT), Institute of Physics
2014
Strain engineered Ge micro- and nanostructures
www.ihp-microelectronics.com/put
Das gemeinsame Labor IHP/PUT führt grenzüberschreitend die
The Joint Lab IHP-PUT bundles cross-border expertise and
Expertise und die Ressourcen der beiden wissenschaftlichen
resources of both scientific institutions and is the basis for
Institutionen zum Zwecke gemeinsamer Forschung und Ausbil-
joint education and research activities in the field of modern
dung im Bereich der modernen Materialforschung zusammen.
materials research. The main focus of research interest is on
Der Schwerpunkt der gemeinsamen Forschung liegt im Bereich
interfacing complex materials (metal/semiconductor, graphene/
der Grenzflächenforschung. Durch die Verbindung von komple-
oxides etc.) as well as advanced functions (metamaterials, bi-
xen Materialien (Metall/Halbleiter, Graphen/Oxide etc.) und
osensing etc.) to achieve advanced functionalized Silicon in-
multifunktionalen Eigenschaften (Metamaterialien, Biosenso-
tegrated circuits for solving challenges in modern societies.
rik etc.) schafft die siliziumbasierte Mikro- und Nanoelektronik
These research tasks include for example modern materials
Lösungen für die Herausforderungen moderner Gesellschaften.
science on interfacing materials for complex device hetero-
Dies beinhaltet zum Beispiel die Materialforschung im Bereich
structures.
komplexer Heterostrukturen für Bauteile.
Zurzeit fokussieren sich die Aktivitäten auf Rastertunnelmi-
Currently, nanoscience activities at IHP and PUT focus on scan-
kroskopie – Studien, um ein atomares Verständnis der ohm-
ning tunneling microscopy (STM) studies to gain an atomistic
schen Kontaktbildung von Nickel (Ni) auf Germanium (Ge)
understanding of ohmic Nickel (Ni) metal contact formation on
(001)-Oberflächen zu erlangen, wie sie sowohl für photonische
Germanium (Ge) (001) surfaces for future photonics (e.g. Ge
(z. B. Ge-Laser) als auch logische (z. B. FinFETs) Bauteile von
lasers) and logic (e.g. FinFET) devices. In 2015, a PhD thesis
zentraler Bedeutung sind. In 2015 wurde im Rahmen dieser
based on this joint research effort was successfully defended at
Aktivitäten eine Doktorarbeit an der BTU Cottbus-Senftenberg
BTU Cottbus-Senftenberg. About 10 PUT students participated
erfolgreich abgeschlossen. Zur Stärkung der Fachkräfte im
in a one month internship at IHP in order to qualify young peo-
Hochtechnologiebereich für die deutsch-polnische Grenzregi-
ple with high-technology skills for the German-Polish Branden-
on Brandenburg-Wiekopolska erhielten 2015 10 PUT-Studenten
burg-Wiekopolska area.
die Möglichkeit, einen Monat am IHP zu Gast zu sein.
.
Tomas Grzela verteidigte erfolgreich seine Doktorarbeit an der BTU
Cottbus-Senftenberg. Die Betreuung übernahmen Prof. Dr. Giovanni
Capellini von der Universität Roma Tre, Prof. Dr. Ryszard Czajka von der
PUT und Prof. Dr. Thomas Schröder (IHP und BTU).
Tomasz Grzela successfully defended his PhD thesis at BTU CottbusSenftenberg. The PhD thesis was supervised by Prof. Giovanni Capellini
from the University of Roma Tre, by Prof. Ryszard Czajka from PUT and by
Prof. Thomas Schröder (IHP and BTU).
An n ual
R ep ort
2015
73
G emei n s a me
L abo r E
–
J o i n t
L abS
Joint Lab IHP / TU Berlin
Siliziumphotonik | Silicon Photonics
Kontakt | Contact
Partner | Partner
Gründung und Eröffnung | Opening Ausgewählte Projekte | Selected Projects
Internetseite | Homepage
Dr. Lars Zimmermann (IHP)
Prof. Dr. Klaus Petermann (TU Berlin)
Technische Universität Berlin, Institut für Hochfrequenzund Halbleiter-Systemtechnologien
Juni 2010 / June 2010
MOSAIC, SASER, SILIMOD, MERMIG, BEACON, SITOGA,
PHOIBOS, SPEED
www.ihp-microelectronics.com/jlphotonics
Die Photonik hat sich in den vergangenen Jahren zu einer
Photonics is becoming a key technology in everyday life. The
Schlüsseltechnologie mit einem breiten Anwendungsbereich
application of photonics technologies is no longer limited to
entwickelt und beschränkt sich nicht mehr nur auf das Ge-
optical communications. Many present developments focus on
biet der optischen Kommunikation. Einen Schwerpunkt der
integrated photonics technologies, in particular on the conver-
gegenwärtigen Forschung und Entwicklung bilden integrierte
gence of silicon IC technology and integrated optics, so-called
photonische Technologien, beruhend auf der Vereinigung von
silicon photonics. In order to set up a photonics integrated
Silizium-IC-Elektronik mit integrierter Optik, die sogenannte
technology based on IHP’s BiCMOS toolset, a close collabora-
Siliziumphotonik. Die Entwicklung einer photonischen Tech-
tion with the TU Berlin has been established. In the Joint Lab
nologie, basierend auf den am IHP etablierten BiCMOS-Tech-
IHP benefits from the photonics know-how available in Berlin,
nologien, erfolgt in enger Kooperation mit der TU Berlin. Im
while Berlin gains access to the technology of IHP for photonic
gemeinsamen Joint Lab profitiert das IHP von dem verfügbaren
research purposes.
photonischen Know-How, die TU Berlin erhält für ihre photonischen Forschungen und Entwicklungen Zugang zu den IHP-
Our work is closely linked to top-level research in this area,
Technologien.
which is reflected by the cooperation in a considerable number
of international and national projects with the focus on
Es besteht eine enge Kooperation mit international führen-
photonics. In addition to extensive research activities the
den Einrichtungen und Forschergruppen auf dem Gebiet der
Joint Lab actively links IHP to academia. Currently, two courses
Siliziumphotonik. Ausdruck dafür ist die Zusammenarbeit in
at TU Berlin are provided. A considerable number of studies as
zahlreichen Photonik-Projekten. Neben den Forschungsak-
well as bachelor, master and PhD theses are conducted in the
tivitäten verbessert das Joint Lab Siliziumphotonik auch die
frame of the Joint Lab.
akademische Anbindung des IHP. Das Joint Lab ist mit zwei
Vorlesungen an der TU Berlin vertreten. Außerdem werden zahlreiche Studien-, Bachelor-, Master- und Doktorarbeiten bis zum
erfolgreichen Abschluss betreut.
Messlabor „Joint Lab Siliziumphotonik“
Measurement laboratory “Joint Lab Silicon Photonics”
Annua l
74
Re po r t
2015
G emei n s a me
L abo r E
–
J o i n t
L abS
Joint Lab IHP / TH Wildau
Graphenbasierte & photonische Bauelemente & Technologien | Graphene Based & Photonic
Devices & Technologies
Kontakt | Contact
Partner | Partner
Gründung und Eröffnung | Opening Ausgewählte Projekte | Selected Projects
Internetseite | Homepage
Dr. Andreas Mai (IHP)
Prof. Dr. Sigurd Schrader (TH Wildau)
Technische Hochschule Wildau
AG für Photonik, Laser- und Plasmatechnologien
2006
SiliconLight
www.ihp-microelectronics.com/thwildau
Im Oktober 2015 übernahm Dr. Andreas Mai von Seiten des
In October 2015, Dr. Andreas Mai from IHP became respon-
IHP die Leitung des Joint Labs, das bereits im Februar 2006 als
sible for the Joint Lab, which was opened in February 2006 as a
Kooperation der akademischen Lehre und Forschung eröffnet
collaboration of academic teaching and research. A main focus
wurde. Im Zentrum der erfolgreichen Zusammenarbeit steht die
of the successful cooperation is the joint training of young re-
gemeinsame Ausbildung von wissenschaftlichem Nachwuchs.
searchers. In addition to lectures by IHP and UAS Wildau annual
Neben gemeinsamen Lehrveranstaltungen des IHP und der TH
internships for students are offered at IHP as well as support for
Wildau finden jährlich Praktika für Studierende der TH Wildau
bachelor and master theses.
am IHP statt. Zudem werden Bachelor- und Masterarbeiten unterstützt.
Die Forschungsschwerpunkte des Joint Lab konzentrieren sich
The research priorities of the Joint Lab are essentially focused
im Wesentlichen auf die Entwicklung von Prozessen für kohlen-
on the development of processes for ultrathin carbon techno-
stoffbasierte Dünnschichttechnologien (z. B. graphenartige
logies (e.g. graphene-like layers), the treatment of surfaces by
Schichten), auf die Behandlung von Oberflächen durch spezi-
specific plasma processes and the study of application-specific
elle Plasmaverfahren sowie die Erforschung von anwendungs-
silicon photonic devices and technologies. Main concern is the
spezifischen photonischen Bauelementen und Technologien.
development of devices and their specific applications in the
Hauptanliegen ist dabei die Entwicklung von Bauelementen so-
field of high-frequency electronics, sensors, and medical tech-
wie deren spezielle Anwendung in den Bereichen der Hochfre-
nology. Other topics are the research and the development of
quenzelektronik, Sensorik und Medizintechnik. Im Rahmen der
new diagnostic methods for the modules as part of the „More-
„More-than-Moore“-Bauelemente- und Technologieentwick-
than-Moore“ device and technology development of IHP.
lungen des IHP erforscht und entwickelt das Joint Lab außerdem neue diagnostische Verfahren für die entwickelten Module.
© TH Wildau
Dr. Andreas Mai vom IHP (links) leitet seit Oktober 2015 gemeinsam mit
Prof. Dr. Sigurd Schrader von der TH Wildau (daneben) das Joint Lab.
Die Ernennung erfolgt durch den Präsident der TH Wildau, Prof. Dr.
László Ungvári (3. v. l.), und den Wissenschaftlich-Technischen
Geschäftsführer des IHP, Prof. Dr. Bernd Tillack.
Since October 2015, Dr. Andreas Mai from IHP (left) is responsible
for the Joint Lab together with Prof. Sigurd Schrader from UAS Wildau
(middle, left). He was appointed by the president of UAS Wildau,
Prof. László Ungvári (middle, right), and the Scientific Director of IHP,
Prof. Bernd Tillack.
An n ual
R ep ort
2015
75
Publications
Annua l
76
Re po r t
2015
P u b l ik a t i o n e n
Erschienene Publikationen | Published Papers
(1) A SiGe-Based D-Band Fundamental-Wave VCO with 9 dBm
Output Power and -185 dBc / Hz FoMT
F. Ahmed, M. Furqan, B. Heinemann, A. Stelzer Proc. IEEE Compound Semiconductor IC Symposium
(CSICS 2015), 1 (2015)
(2) Low Power Fundamental VCO Design in D-band Using
0.13 µm SiGe BiCMOS Technology
U. Ali, G. Fischer, A. Thiede Proc. German Microwave Conference (GeMiC 2015), 359 (2015)
(3) High Speed Static Frequency Divider Design with 111.6 GHz
Self-Oscillation Frequency (SOF) in 0.13 μm SiGe BiCMOS
Technology
U. Ali, A. Awny, M. Bober, G. Fischer, A. Thiede Proc. German Microwave Conference (GeMiC), 241 (2015)
(4)Simulation-based Performance Analysis of a Single Event
Latchup Protection Switch for CMOS Integrated Circuits
M. Andjelkovic, V. Petrovic, Z. Stamenkovic, G. Ristic, G. Jovanovic
Proc. IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2015), 255 (2015)
(5) Circuit-Level Simulation of Single Event Transients in an
On-Chip Single Event Latchup Protection Switch
M. Andjelkovic, V. Petrovic, Z. Stamenkovic, G. S. Ristic Journal of Electronic Testing 31, 275 (2015)
(6) A 40 Gb / s Monolithically Integrated Linear Photonic
Receiver in a 0.25 μm BiCMOS SiGe:C Technology
A. Awny, R. Nagulapalli, G. Winzer, M. Kroh, D. Micusik,
St. Lischke, D. Knoll, G. Fischer, D. Kissinger, A. C. Ulusoy,
L. Zimmermann
IEEE Microwave and Wireless Components Letters 25(7),
469 (2015)
(7) A Coarse Model for Estimation of Switching Noise Coupling
in Lightly Doped Substrates
M. Babic, M. Krstic Proc. 18th IEEE Symposium on Design and Diagnostics of
Electronic Circuits and Systems (DDECS 2015), 217 (2015)
(8) Frequency-Domain Modeling of Ground Bounce and
Substrate Noise for Synchronous and GALS Systems
M. Babic, X. Fan, M. Krstic Proc. 25th International Workshop on Power and Timing
Modeling, Optimization and Simulation (PATMOS 2015), (2015)
(9) Modeling of Substrate Noise Coupling and Ground Bounce
for GALS Systems
M. Babic, M. Krstic Proc. Annual DCPS (Dependable Cyber-Physical Systems)
Evaluation Workshop, 70 (2015)
(10) GALS Methodology for Substrate Noise Reduction in BiCMOS
Technologies
M. Babic, M. Krstic Proc. Workshop on Manufacturable and Dependable
Multicore Architectures at Nanoscale (MEDIAN), (2015)
(11) A Reactively Matched 1.0–11.5 GHz Hybrid Packaged GaN
High Power Amplifier
G. C. Barisich, A. C. Ulusoy, E. Gebara, J. Papapolymerou IEEE Microwave and Wireless Components Letters 25(12), 811 (2015)
–
P u b l ic a t i o n s
(12) Towards an OS-independent Code-Update Function for WSN
T. Basmer, I. Kabin, M. Schölzel Proc. 14. GI / ITG KuVS Fachgespräch „Sensornetze“, 61 (2015)
(13) A 2-30 GHz Multi-Octave Planar Microwave Six-Port
for Reflection Measurements
A. Bauch, M. Hofmann, R. Weigel, D. Kissinger Proc. 45th European Microwave Conference (EuMC 2015),
52 (2015)
(14) Mikrostrukturierte Elektroden für Brennstoffzellen
F. Berthold, P. Berthold, N. Kroh Junge Wissenschaft 30, 18 (2015)
(15) 5-35 GHZ Broadband IF Amplifier Section in 0.13 μm
SiGe Technology for W-Band Heterodyne Receiver RFICS
S. Bint Reyaz, R. Jonsson, A. Gustafsson, R. Malmqvist,
A. Strodl, V. Valenta, H. Schumacher, M. Kaynak Microwave and Optical Technology Letters 57, 2286 (2015)
(16) SiGe BiCMOS High-Gain and Wideband Differential
Intermediate Frequency Amplifier for W-band Passive
Imaging Single-Chip Receivers S. Bint Reyaz, R. Malmqvist, A. Gustafsson, M. Kaynak IET Microwaves, Antennas & Propagation 9(6), 569 (2015)
(17) Depth-Dependent Evolution of Texture and Stress
in ThinFilms
M. Birkholz Acta Crystallographica C 71, 159 (2015)
(18) Recognizing Upper Limb Movements with Wrist Worn
Inertial Sensors using k-means Clustering Classification
D. Biswas, A. Cranny, N. Gupta, K. Maharatna, J. Achner,
J. Klemke, M. Jöbges, St. Ortmann Human Movement Science 40, 59 (2015)
(19) SiGe HBT and BiCMOS Process Integration Optimization
within the DOTSEVEN Project J. Böck, K. Aufinger, S. Boguth, C. Dahl, H. Knapp, W. Liebl,
D. Manger, T. F. Meister, A. Pribil, J. Wursthorn, R. Lachner,
B. Heinemann, H. Rücker, A. Fox, R. Barth, G. Fischer,
St. Marschmeyer, D. Schmidt, A. Trusch, Ch. Wipf Proc. IEEE Bipolar/BiCMOS Circuits and Technology Meeting
(BCTM 2015), 121 (2015)
(20) Coulomb-Driven Energy Boost of Heavy Ions for LaserPlasma Acceleration
J. Braenzel, A. Andreev, K. Platonov, M. Klingsporn,
L. Ehrentraut, W. Sandner, M. Schnurer Physical Review Letters 114, 124801 (2015)
(21) Bug-Tolerant Sensor Networks: Experiences from Real-World
Applications
M. Brzozowski, P. Langendörfer Proc. 7th International Conference on Ad Hoc Networks
(2015), 1 (2015)
(22) Challenges for 100 Gbit / s End to End Communication:
Increasing Throughput Through Parallel Processing
S. Buechner, J. Nolte, R. Kraemer, L. Lopacinski, R. Karnapke Proc. of the 40th IEEE Conference on Local Computer Networks
(LCN 2015) (2015)
(23) A Novel Dual Gap MEMS Varactor Manufactured in a Fully
Integrated BiCMOS-MEMS Process
A. Cazzorla, M. Kaynak, P. Farinelli, R. Sorrentino Proc. Asia Pacific Microwave Photonics Conference (APMP 2015)
(2015)
An n ual
R ep ort
2015
77
P u b l ik a t i o n e n
(24) Strain and Lattice Orientation Distribution in SiN / Ge
Complementary Metal–Oxide–Semiconductor Compatible
Light Emitting Microstructures by Quick X-Ray NanoDiffraction Microscopy G. Chahine, M. H. Zoellner, M. I. Richard, S. Guha, Ch. Reich,
P. Zaumseil, G. Capellini, T. Schroeder, T. U. Schülli Applied Physics Letters 106, 071902 (2015)
(25) Medical Applications of RF and Microwaves – Applications
and Events
J.-C. Chiao, D. Kissinger IEEE Microwave Magazine 16(6), 14 (2015)
(26) Medical Applications of RF and Microwaves – Therapy and Safety
J.-C. Chiao, D. Kissinger IEEE Microwave Magazine 16(2), 12 (2015)
(27) Hardware Implementation of a RSS Localization Algorithm
for Wireless Capsule Endoscopy M. Cicic, J. Gutierrez Teran, Z. Stamenkovic Proc. 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2015), 301 (2015)
(28) An Analysis of OAM Modes for mm-Wave Wireless
Communications
D. Cvetkovski, T. Hälsig, B. Lankl, E. Grass Proc. URSI Atlantic Radio Science Conference (AT-RASC 2015),
1617 (2015)
(29) DFT Si Vacancy Re-visited: Supercell-Size Convergence of
Formation Energies and Gap Levels of Vacancy Complexes in
Crystalline Silicon
J. Dabrowski, G. Kissinger Physical Review B 92, 144104 (2015)
(30) Initial State of Graphene Growth on Ge(001) Surfaces
J. Dabrowski, G. Lippert, G. Lupina ECS Transactions 69, 345 (2015)
(31) X-Band High Dynamic Range Flat Gain SiGe BiCMOS
Low Noise Amplifier
M. Davulcu, C. Caliskan, E. Ozeren, Y. Gurbuz, M. Kaynak Proc. 10th European Microwave Integrated Circuits Conference
(EuMIC 2015), 242 (2015)
(32) Graphene Field Effect Transistors with Niobium Contacts
and Asymmetric Transfer Characteristics
A. Di Bartolomeo, F. Giubileo, F. Romeo, P. Sabatino, G. Carapella,
L. Lemmo, T. Schroeder, G. Lupina Nanotechnology 26, 475202 (2015)
(33) Epi-Cleaning of Ge / GeSn Heterostructures L. Di Gaspare, D. Sabbagh, M. De Seta, A. Sodo, S. Wirths, D. Buca,
P. Zaumseil, T. Schroeder, G. Capellini Journal of Applied Physics 117, 045306 (2015)
(34) Low Chip Area, Low Power Dissipation, Programmable,
Current Mode, 10-bits, SAR ADC Implemented in the CMOS
130 nm Technology
R. Dlugosz, G. Fischer
Proc. International Conference Mixed Design of Integrated
Circuits and Systems (MIXDES), (2015)
(35) System Analysis of a Phased-Array Radar Applying Adaptive
Beam-Control for Future Automotive Safety Applications
M. Dudek, I. Nasr, G. Bozsik, M. Hamouda, D. Kissinger, G. Fischer IEEE Transactions on Vehicular Technology 64(1), 34 (2015)
Annua l
78
Re po r t
2015
–
P u b l ic a t i o n s
(36) 220 GHz Wide-Band MEMS Switch in Standard BiCMOS
Technology
Y.J. Du, W. Su, S. Tolunay, L. Zhang, M. Kaynak, R. Scholz, Y. Z. Xiong Proc. IEEE Asian Solid-State Circuits Conference (A-SSCC 2015),
7-3 (2015)
(37) Clockwise Randomization of the Observable Behaviour
of Crypto ASICs to Counter Side Channel Attacks
Z. Dyka, Ch. Wittke, P. Langendörfer Proc. Euromicro Conference on Digital System Design
(DSD-2015), 551 (2015)
(38) Individualizing Electrical Circuits of Cryptographic Devices
as a Means to Hinder Tampering Attacks
Z. Dyka, T. Basmer, Ch. Wittke, P. Langendörfer http://eprint.iacr.org/2015/442.pdf
(39) A Scalable Synchronous Reload Technique for Wide Division
Range Multi Modulus Dividers
M. El-Shennawy, M. H. Eissa, M. Schulz, N. Joram, F. Ellinger IEEE International Conference on Electronics Circuits and Systems
(ICECS), (2015)
(40) A 70 GHz Static Dual-Modulus Frequency Divider in SiGe
BiCMOS Technology A. Ergintav, J. Borngräber, B. Heinemann, H. Rücker, F. Herzel,
D. Kissinger Proc. 11th European Microwave Integrated Circuits
Conference (EuMIC 2015), 66 (2015)
(41) SCREAMER – A Demonstrator Chip for Spectral Noise
Optimization By Clock Latency Scheduling X. Fan, O. Schrape, S. Zeidler, M. Krstic, M. Stegmann, I. Jensen,
J. Thorsen, T. Bjerregaard Proc. IEEE International System-on-Chip Conference
(SOCC 2015), (2015)
(42) Ageing and Thermal Recovery of Advanced SiGe
Heterojunction Bipolar Transistors under Long-term
Mixed Mode and Reverse Stress Conditions G.G. Fischer, G. Sasso Microelectronics Reliability 55, 498 (2015)
(43) Growth and Characterization of SiGeSn Quantum Well
Photodiodes I. A. Fischer, T. Wendav, L. Augel, S. Jitpakdeebodin, F. Oliveira,
A. Benedetti, S. Stefanov, S. Chiussi, G. Capellini, K. Busch,
J. Schulze Optics Express 23(19), 25048 (2015)
(44) Advanced Heterojunction Bipolar Transistor for Half-THz
SiGe BiCMOS Technology
A. Fox, B. Heinemann, H. Rücker, R. Barth, G.G. Fischer, Ch. Wipf,
St. Marschmeyer, K. Aufinger, J. Böck, S. Boguth, H. Knapp,
R. Lachner, W. Liebl, D. Manger, T.F. Meister, A. Pribil, J. Wursthorn IEEE Electron Device Letters 36, 642 (2015)
(45) A 140-180-GHz Broadband Amplifier with 7 dBm OP1dB
and 400 GHz GBW in SiGe BiCMOS
M. Furqan, F. Ahmed, H. Rücker, A. Stelzer Proc. IEEE Compound Semiconductor IC Symposium
(CSICS 2015), 1 (2015)
(46) Through Silicon via Profile Metrology of Bosch Etching
Process based on Spectroscopic Reflectometry
O. Fursenko, J. Bauer, St. Marschmeyer, P. Stoll Microelectronic Engineering 139, 70 (2015)
P u b l ik a t i o n e n
(47) On the Plasma Chemistry During Plasma Enhanced Chemical
Vapor Deposition of Microcrystalline Silicon Oxides
O. Gabriel, S. Kirner, M. Klingsporn, F. Friedrich, B. Stannowski,
R. Schlatmann Plasma Processes and Polymers 12, 82 (2015)
(48) A Ka / X-Band Digital Beamforming Synthetic Aperture Radar
for Earth Observation
S. Gao, F. Qin, C. Mao, M. Younis, G. Krieger, S. Glisic, W. Debski,
L. Boccia, G. Amendola, E. Arnieri, M. Krstic, P. Penkala, E. Celton
Proc. 7th International Conference on Recent Advances in Space
Technologies – Emerging Private Space – (RAST 2015), (2015)
(49) Dual-Band Digital Beamforming Synthetic Aperture Radar
for Earth Observation
S. Gao, C. Mao, F. Qin, A. Patyuchenko, C. Tienda, M. Younis,
G. Krieger, S. Glisic, W. Debski, L. Boccia, G. Amendola, E. Arnieri,
E. Arnieri, M. Krstic, A. Koczor, P. Penkala, E. Celton Proc. Asia-Pacific Microwave Conference (APMC 2015), (2015)
(50) A 2.5 Vppd Broadband 32 GHz BiCMOS Linear Driver with
Tunable Delay Line for InP Segmented Mach Zehnder
Modulators
I. García López, P. Rito, D. Micusik, J. Borngräber,
L. Zimmermann, A.C. Ulusoy, D. Kissinger Proc. International Microwave Symposium (IMS 2015), (2015)
(51) A Time to Digital Converter for use in Ultra Wide Band Radar
Sensor Nodes
D. Genschow Proc. IEEE Topical Conference on Wireless Sensors and
Sensor Networks (WISNET 2015), 38 (2015)
(52) Evaluation of a UWB Radar Interface for Low Power Radar
Sensors
D. Genschow, J. Kloas Proc. European Microwave Week (EuMW 2015), 1343 (2015)
(53) Broadband Circuit Techniques for Multi-Terahertz
Gain-Bandwidth-Product Low-Power Applications
A. Gharib, R. Weigel, D. Kissinger IEEE Transactions on Microwave Theory and Techniques 63(11),
3701 (2015)
(54) 10 Gb / s 5Vpp and 5.6 Vpp Drivers Implemented together with
A Monolithically Integrated Silicon Modulator in 0.25 μm
SiGe:C BiCMOS
B. Goll, D. J. Thomson, L. Zimmermann, H. Porte, F. Y. Gardes,
Y. Hub, G. T. Reed, H. Zimmermann Optics Communications 336, 224 (2015)
(55) Wafer-Level Encapsulation for BiCMOS embedded RF-MEMS
Applications
A. Göritz, M. Lisker, S. Tolunay, M. Wietstruck, M. Kaynak Proc. International Conference on Micro and Nano Engineering
(MNE 2015,) (2015)
(56) Dynamically Reconfigurable Optical-Wireless Backhaul /
Fronthaul with Cognitive Control Plane for Small Cells and
Cloud-RANs
E. Grass, J. Gutierrez Teran, K. Grobe, A. Fehske, R. McConnell,
M. Barrett, I. Mesogiti, E. Theodoropoulou, G. Lyberopoulos,
D. Camps-Mur, J. Paradells-Aspas, N. Vucic, E. Schulz, J. Bartelt,
G. Fettweis, I. Berberana, D. Markovic, D. Simic, V. Petrovic,
M. Anastasopoulos, A. Tzanakaki, D. Simeonidou, M. Beach,
A. Nix, D. Syrivelis, T. Korakis
–
P u b l ic a t i o n s
Proc. 24th European Conference on Networks and
Communications (EuCNC 2015), 687 (2015)
(57) Impact of Intercell and Intracell Variability on Forming and
Switching Parameters in RRAM Arrays
A. Grossi, D. Walczyk, C. Zambelli, E. Miranda, P. Olivo, V. Stikanov,
A. Feriani, J. Sune, G. Schoof, R. Kraemer, B. Tillack, A. Fox,
T. Schroeder, Ch. Wenger, Ch. Walczyk IEEE Transactions on Electron Devices 62(8), 2502 (2015)
(58) Electrical Characterization and Modeling of Pulse-based
Forming Techniques in RRAM Arrays
A. Grossi, C. Zambelli, P. Olivo, E. Miranda, V. Stikanov,
Ch. Walczyk, Ch. Wenger Solid State Electronics 115, 17 (2015)
(59) Radiation Hard Design of HfO2 based 1T1R Cells and
Memory Arrays
A. Grossi, C. Calligaro, E. Perez, F. Teply, Th. Mausolf, C. Zambelli,
P. Olivo, Ch. Wenger Proc. IEEE International Conference on Memristive Systems
(MEMRISYS 2015), (2015)
(60) Relationship Among Current Fluctuations during Forming,
Cell-to-Cell Variability and Reliability in RRAM Arrays
A. Grossi, C. Zambelli, P. Olivo, E. Miranda, V. Stikanov,
T. Schroeder, Ch. Walczyk, Ch. Wenger
Proc. 7th International Memory Workshop (IMW 2015), 93 (2015)
(61) Comparative Study of Thermal Evolution of Co and Ni
Germanide Nanostructures on Ge(001)
T. Grzela, G. Capellini, M. A. Schubert, W. Koczorowski, R. Czajka,
N. J. Curson, T. Schmidt, J. Falta, T. Schroeder
Proc. of the 7th International Conference on Scanning Probe
Spectroscopy and Related Methods (SPS‘15), book of abstr. 28
(2015)
(62) Growth and Evolution of Nickel Germanide Nanostructures
on Ge(001)
T. Grzela, G. Capellini, W. Koczorowski, M. A. Schubert, R. Czajka,
N. J. Curson, I. Heidmann, Th. Schmidt, J. Falta, T. Schroeder
Nanotechnology 26, 385701 (2015)
(63) Thermal Evolution of Co and Ni Germanide Nanostructures
on Ge(001): Comparative STM, LEED, TEM-EDX & XPS Study
T. Grzela, W. Koczorowski, G. Capellini, R. Czajka, N. J. Curson,
T. Schroeder
Proc. The 9th International Conference on Silicon Epitaxy and
Heterostructures (ICSI 2015), 55 (2015)
(64) Miniature Microwave Biosensors
G. Guarin, M. Hofmann, J. Nehring, R. Weigel, G. Fischer, D. Kissinger IEEE Microwave Magazine 16(4), 71 (2015)
(65) Ultra-Wideband Compressed Sensing Radar Based on Pseudo
Random Binary Sequences
G. Guarin, M. Gardill, R. Weigel, G. Fischer, D. Kissinger Proc. German Microwave Conference (GeMiC 2015), 233 (2015)
(66) Label Free Sensing of Creatinine using a 6 GHz CMOS
Near-Field Dielectric Immunosensor
S. Guha, A. Warsinke, Ch. M. Tientcheu, Ch. Meliani, Ch. Wenger Analyst 140, 3019 (2015)
(67) Self-Calibrating Highly Sensitive Dynamic Capacitance
Sensor: Towards Rapid Sensing and Counting of Particles
in Laminar Flow Systems
S. Guha, K. Schmalz, Ch. Wenger, F. Herzel Analyst 140, 3262 (2015)
An n ual
R ep ort
2015
79
P u b l ik a t i o n e n
(68) 12 GHz CMOS MEMS Lab-on-Chip System for Detection of
Concentration of Suspended Particles in Bio-Suspensions
S. Guha, A. Wolf, Ch. Meliani, Ch. Wenger
Proc. International Conference on Biomedical Electronics
and Devices (Biodevices 2015), 49 (2015)
(69) Integrated High-Frequency Sensors in Catheters for
Minimally Invasive Plaque Characterization
S. Guha, D. Wagner, F. I. Jamal, U. Schumann, Ch. Meliani,
B. Schmidt, Ch. Wenger, J. Wessel, M. Detert
Proc. 20th European Microelectronics and Packaging
Conference and Exhibition (2015)
(70) A 60 GHz Frequency Divider with Quadrature Outputs in
130 nm SiGe BiCMOS Technology for Optical OFDM Systems
F. Herzel, J. Borngräber, A. Ergintav Proc. 10th European Microwave Integrated Circuits Conference
(EuMIC 2015), 70 (2015)
(71) A 17 GHz Programmable Frequency Divider for Space
Applications in a 130 nm SiGe BiCMOS Technology
F. Herzel, J. Borngräber, A. Ergintav, M. Kucharski, D. Kissinger
Proc. IEEE Bipolar / BiCMOS Circuits and Technology Meeting
(BCTM 2015), 133 (2015)
(72) A 250 GHz Hetero-Integrated VCO with 0.7 mW Output
Power in InP-on-BiCMOS Technology
M. Hossain, N. Weimann, B. Janke, M. Lisker, Ch. Meliani,
B. Tillack, O. Krüger, V. Krozer, W. Heinrich
Proc. European Microwave Week (EuMW), 391 (2015)
(73) A 330 GHz Hetero-Integrated Source in InP-on-BiCMOS
Technology
M. Hossain, N. Weimann, M. Lisker, Ch. Meliani, B. Tillack,
V. Krozer, W. Heinrich
Proc. IEEE International Microwave Symposium (IMS 2015),
(2015)
(74) K-band BiCMOS based Near-field Biomedical Dielectric
Sensor for Detection of Fat and Calcium in Blood
F. I. Jamal, S. Guha, M. H. Eissa, S. Vehring, D. Kissinger, Ch. Meliani
Proc. 45th European Microwave Conference (EuMC 2015), 821
(2015)
(75) System Design Considerations for a PSSS Transceiver for
100 Gbps Wireless Communication with Emphasis on Mixed
Signal Implementation
A. R. Javed, K. Krishnegowda, J. C. Scheytt, R. Kraemer
Proc. IEEE Wireless and Microwave Technology Conference
(WAMICON 2015) (2015)
(76) A W-Band Power Detector RFIC Design in 0.13 µm SiGe
BiCMOS Process
R. Jonsson, S. Bint Reyaz, R. Malmqvist, M. Kaynak
Microwave and Optical Technology Letters 57(2), 414 (2015)
(77) Atomic Layer Deposition of Al2O3 on NF3-Pre-Treated Graphene
M. Junige, T. Oddoy, R. Yakimova, V. Darakchieva, Ch. Wenger,
G. Lupina, M. Albert, J. W. Bartha
Proc. SPIE Microtechnologies – Nanotechnology VII, 9519,
951915 (2015)
(78) AlN / SiO2 / Si3N4 / Si(100) based CMOS Compatible Surface
Acoustic Wave Filter with -12.8 dB Minimum Insertion Loss
U. Ch. Kaletta, Ch. Wipf, M. Fraschke, D. Wolansky,
M. A. Schubert, T. Schroeder, Ch. Wenger
IEEE Transactions on Electron Devices 62(3), 764 (2015)
Annua l
80
Re po r t
2015
–
P u b l ic a t i o n s
(79) Plasma-Assisted Atomic Layer Deposition of Oxide Films
for Silicon Doping
B. Kalkofen, A. A. Amusan, M. Lisker, E. P. Burte
Proc. E-MRS Spring Meeting 2015, (2015)
(80) Plasma-Assisted Atomic Layer Deposition of Oxide Films
for Silicon Doping
B. Kalkofen, A. A. Amusan, H. Gargouri, M. Lisker, E. P. Burte
Proc. AVS Topical Conference on Atomic Layer Deposition
(ALD 2015), abstr. book, 311 (2015)
(81) Use of B2O3 Films Grown by Plasma-Assisted Atomic
Layer Deposition for Shallow Boron Doping in Silicon
B. Kalkofen, A. A. Amusan, M. S. K. Bukhari, B. Garke, M. Lisker,
H. Gargouri, E. P. Burte
Journal of Vacuum Science and Technology A 33, 03152 (2015)
(82) Silicon Based CMOS Integrated Microfluidic Platform for
THz-Sensing Applications
M. Kaynak
Proc. IEEE MTT-S International Microwave Symposium
(IMS 2015) WMD, 325 (2015)
(83) A D-Band (110 to 170 GHz) SPDT switch in 32 nm CMOS SOI
W. T. Khan, A. C. Ulusoy, R. Schmid, T. Chi, J. D. Cressler,
H. Wang, J. Papapolymerou
Proc. IEEE MTT-S International Microwave Symposium
(IMS 2015), (2015)
(84) A D-Band Micromachined End-Fire Antenna in 130-nm
SiGe BiCMOS Technology
W. T. Khan, A. C. Ulusoy, G. Dufour, M. Kaynak, B. Tillack,
J. D. Cressler, J. Papapolymerou
IEEE Transactions on Antennas and Propagation 63, 2449 (2015)
(85) A Single-Bit Sampling Demodulator for Biomedical Implants
D. Kim, M. Ko, D. Ng, W.-Y. Choi
Microelectronics Journal 46, 669 (2015)
(86) Resistive Switching Characteristics of Integrated Poly
crystalline Hafnium Oxide Based one Transistor and one
Resistor Devices Fabricated by Atomic Vapor Deposition Methods
H.-D. Kim, F. Crupi, M. Lukosius, A. Trusch, Ch. Walczyk, Ch. Wenger
Journal of Vacuum Science and Technology B 33, 052204 (2015)
(87) Dislocation Generation and Propagation during Flash Lamp
Annealing
G. Kissinger, D. Kot, M. A. Schubert, A. Sattler
ECS Journal of Solid State Science and Technology 4(7), 195
(2015)
(88) Oxygen Precipitation in Silicon
G. Kissinger
Defects and Impurities in Silicon Materials, Lecture Notes
in Physics, Springer Japan, Chapter 6 (2015)
(89) Wireless Integrated Sensor Nodes for Indoor Monitoring
and Localization
D. Kissinger, A. Schwarzmeier, F. Grimminger, J. Mena-Carrillo,
W. Weber, G. Hofer, G. Fischer, R. Weigel
Proc. IEEE Topical Conference on Wireless Sensors and Sensor
Networks (WiSNet 2015), 7 (2015)
(90) Investigation of the Copper Gettering Mechanism of Oxide
Precipitates in Silicon
G. Kissinger, D. Kot, M. Klingsporn, M. A. Schubert, A. Sattler, T. Müller
ECS Journal of Solid State Science and Technology 4(9), N124 (2015)
P u b l ik a t i o n e n
(91) Integrated Millimeter-Wave Transceiver Concepts and
Technologies for Wireless Multi-Gbps Communication
D. Kissinger, T. Girg, C. Beck, I. Nasr, H. P. Forstner, M. Wojnowski,
K. Pressel, R. Weigel
Proc. IEEE MTT-S International Microwave Symposium
(IMS 2015), (2015)
(92) Integrated Test Concepts for In-Situ Millimeter-Wave Device
Characterization
D. Kissinger, J. Nehring, K. Borutta, I. Nasr, B. Laemmle, R. Weigel
Proc. 13th IEEE International New Circuits and Systems
Conference (NEWCAS 2015), 10B5 (2015)
(93) Medical Applications of RF and Microwaves – Sensing,
Monitoring and Diagnostics
D. Kissinger, J.-C. Chiao
IEEE Microwave Magazine 16(4), 34 (2015)
(94) About Dislocation and Oxygen Related Luminescence of
Silicon around 0.8 eV
M. Kittler, T. Arguirov, M. Reiche, Ch. Krause, D. Mankovics
Physica Status Solidi C 33(8), 1077 (2015)
(95) Atomistic Insights into Phosphorus -Doping of Germanium
W. M. Klesse, L. McKenzie-Sell, O. Warschkow, G. Capellini,
M. Y. Simmons, G. Scappucci
Proc. 7th International Conference on Scanning Probe Spectroscopy
and Related Methods (SPS‘15), book of abstr. 26 (2015)
(96) Fabrication of High Bit Rate, Monolithically Integrated
Receivers in Photonic BiCMOS Technology
D. Knoll, St. Lischke, L. Zimmermann, A. Awny, M. Kroh, A. Peczek,
K. Voigt, K. Petermann
Proc. IEEE International Conference on Group IV Photonics
(GFP), ThF5 (2015)
(97) High-Performance BiCMOS Si Photonics Platform
D. Knoll, St. Lischke, A. Awny, M. Kroh, E. Krune, Ch. Mai, A. Peczek,
D. Petousi, S. Simon, K. Voigt, G. Winzer, R. Barth, L. Zimmermann
Proc. IEEE Bipolar / BiCMOS Circuits and Technology Meeting
(BCTM 2015), 88 (2015)
(98) High-Performance Photonic BiCMOS Process for the
Fabrication of High-Bandwidth Electronic-Photonic
Integrated Circuits
D. Knoll, St. Lischke, R. Barth, L. Zimmermann, B. Heinemann,
H. Rücker, Ch. Mai, M. Kroh, A. Peczek, A. Awny, A. C. Ulusoy,
A. Trusch, A. Krüger, J. Drews, M. Fraschke, D. Schmidt,
M. Lisker, K. Voigt, E. Krune, A. Mai
Proc. IEEE International Electron Devices Meeting (IEDM 2015),
402 (2015)
(99) Erzeugung diagnostischer Testmuster unter komplexen
Constraints
T. Koal, S. Eggersglüß, M. Schölzel
Proc. 27. GI / ITG – Workshop über Testmethoden und Zuverlässigkeit
von Schaltungen und Systemen (TuZ 2015), 12 (2015)
(100) Ba Termination of Ge(001) Studied with STM
W. Koczorowski, T. Grzela, M. W. Radny, S. R. Schofield,
G. Capellini, R. Czajka, T. Schroeder, N. J. Curson
Nanotechnology 26, 155701 (2015)
(101) Experimental and Theoretical Study of fT for SiGe HBTs with
a Scaled Vertical Doping Profile
J. Korn, H. Rücker, B. Heinemann, A. Pawlak, G. Wedel, M. Schröter
Proc. IEEE Bipolar / BiCMOS Circuits and Technology Meeting
(BCTM 2015), 117 (2015)
–
P u b l ic a t i o n s
(102) Composition of Oxygen Precipitates in Czochralski Silicon
Wafers Investigated by STEM with EDX/EELS and FTIR
Spectroscopy
D. Kot, G. Kissinger, M. A. Schubert, M. Klingsporn, A. Huber,
A. Sattler
Physica Status Solidi (RRL)-Rapid Research Letters 9(7), 405
(2015)
(103) Ultra-High Speed Wireless Communications: Challenges
and Approaches
R. Kraemer
Proc. International Symposium on Signals, Systems and
Electronics 2015 (ISSSE 2015), ext. abstr. 1239 (2015)
(104) Collision-Free Full-Duplex UWB Communication Based on
the Standard IEEE.802.15.4a
D. Kreiser, S. Olonbayar
Proc. IEEE Wireless Communications and Networking
Conference (WCNC 2015), 81 (2015)
(105) Simple and Efficient Localization Method for IR-UWB
Systems based on Two-Way Ranging
D. Kreiser, D. Martynenko, O. Klymenko, G. Fischer
Proc. IEEE MTT-S International Conference on Microwaves
for Intelligent Mobility (ICMIM 2015), (2015)
(106) Simple and Efficient two-Way Ranging Method for IEEE
802.15.4a
D. Kreiser
Proc. 1st URSI Atlantic Radio Science Conference (URSI AT-RASC),
ext. abstr. (2015)
(107) Erweiterte Sicherheit für industrielle Anlagen
J. Krimmling, A. Sänn
chemie & more 1, (2015)
(108) Towards 100 Gbps Wireless Communication in THz Band with
PSSS Modulation: A Promising Hardware in the Loop Experiment
K. KrishneGowda, T. Messinger, A. C. Wolf, R. Kraemer, I. Kallfass,
J. C. Scheytt
Proc. IEEE International Conference on Ultra-Wideband (ICUWB)
(2015)
(109) Globally Asynchronous Locally Synchronous Design
Methodology in ASICs for Wireless Communications
M. Krstic
Proc. 23rd Austrian Workshop on Microelectronics (Austrochip
2015) abstr. (2015)
(110) Reducing Power Consumption in Fault Tolerant ASICs
M. Krstic
Proc. Workshop Fehlertolerante und energieeffiziente
eingebettete Systeme: Methoden und Anwendungen (2015),
1381 (2015)
(111)A Monolithically Integrated Opto-Electronic Clock Converter in Photonic SiGe-BiCMOS Technology
B. Krüger, R. E. Makon, O. Landolt, E. Krune, D. Knoll, St. Lischke,
J. Schulze
Proc. IEEE Bipolar / BiCMOS Circuits and Technology Meeting
(BCTM 2015), (2015)
(112)Charge Pump Design in 130 nm SiGe BiCMOS Technology for
Low-Noise Fractional-N PLLs
M. Kucharski, F. Herzel
Advances in Radio Science 13, 133 (2015)
An n ual
R ep ort
2015
81
P u b l ik a t i o n e n
(113) Time-Domain Simulation of Quantization Noise Mixing and
Charge Pump Device Noise in Fractional-N PLLs
M. Kucharski, F. Herzel, D. Kissinger
Proc. 13th IEEE International New Circuits and Systems
Conference (NEWCAS 2015), 7A5 (2015)
(114)Graded Applications of NQS Theory for Modeling Correlated
Noise in SiGe HBTs
K. Kumar, A. Chakravorty, G. G. Fischer, Ch. Wipf
IEEE Transactions on Electron Devices 62(8), 2384 (2015)
(115) P
lasma Enhanced Complete Oxidation of Ultrathin Epitaxial
Praseodymia Films on Si(111)
O. Kuschel, F. Dieck, H. Wilkens, S. Gevers, J. Rodewald, Ch. Otte,
M. H. Zoellner, G. Niu, T. Schroeder, J. Wollschläger
Materials 8, 6379 (2015)
(116) Dielectrophoretic Immobilization of Proteins:
Quantification by Atomic Force Microscopy
E.-M. Laux, X. Knigge, F. F. Bier, Ch. Wenger, R. Hölzel
Electrophoresis 36(17), 2094 (2015)
(117) An 8-GHz CMOS-APD Based on Multiple P+ / N-Well
Junctions With Carrier-Acceleration Technique
M. J. Lee, J. M. Lee, H. Rücker, W. Y. Choi
IEEE Photonics Technology Letters 27(13), 1387 (2015)
(118) High Bandwidth, High Responsivity Waveguide-Coupled
Ge p-i-n Photodiode
St. Lischke, D. Knoll, Ch. Mai, L. Zimmermann, A. Peczek, M. Kroh,
A. Trusch, E. Krune, K. Voigt, A. Mai
Optics Express 23(21), 27213 (2015)
(119) High-Bandwidth, Waveguide-Coupled Ge p-i-n Photodiode
with High C- and L-Band Responsivity
St. Lischke, D. Knoll, Ch. Mai, A. Peczek, K. Voigt, E. Krune,
K. Petermann, L. Zimmermann, A. Mai
Proc. International Conference on Group IV Photonics (GFP),
WD4 (2015)
(120) M
onolithic Integration of High Bandwidth Waveguide
Coupled Ge Photodiode in a Photonic BiCMOS Process
St. Lischke, D. Knoll, L. Zimmermann
Proc. SPIE Photonics West, 9390, 93900F-1 (2015)
(121) Active Dummy Generation for Homogeneity Increase
in a 130 nm BiCMOS Process
M. Lisker, A. Krüger, E. Matthus, A. Trusch, A. Mai
Proc. IEEE International Conference on Planarization / CMP
Technology (ICPT 2015), 139 (2015)
(122) A 100 Gbps Data Link Layer with a Frame Segmentation and
Hybrid Automatic Repeat Request
L. Lopacinski, M. Brzozowski, R. Kraemer
Proc. Science and Information Conference (SAI), 1062 (2015)
(123) A 100 Gbps Data Link Layer with an Adaptive Algorithm
for Forward Error Correction
L. Lopacinski, M. Brzozowski, R. Kraemer
Proc. IEICE Information and Communication Technology Forum
(IEICE ICTF), (2015)
(124) Design and Implementation of an Adaptive Algorithm for
Hybrid Automatic Repeat Request
L. Lopacinski, M. Brzozowski, R. Kraemer, J. Nolte, S. Buechner
Proc. 18th IEEE International Symposium on Design and
Diagnostics of Electronic Circuits and Systems (DDECS 2015),
263 (2015)
Annua l
82
Re po r t
2015
–
P u b l ic a t i o n s
(125) Design and Performance Measurements of an FPGA
Accelerator for a 100 Gbps Wireless Data Link Layer
L. Lopacinski, M. Brzozowski, R. Kraemer, J. Nolte, S. Buechner
Proc. International Symposium on Signals, Systems and
Electronics (ISSSE 2015), ext. abstr. 1118 (2015)
(126) Parallel RS Error Correction Structures Dedicated for
100 Gbps Wireless Data Link Layer
L. Lopacinski, J. Nolte, S. Buechner, M. Brzozowski, R. Kraemer
Proc. IEEE International Conference on Ultra-Wideband
(ICUWB 2015), (2015)
(127) 100 Gbps Wireless – Data Link Layer VHDL Implementation
L. Lopacinski, J. Nolte, S. Buechner, M. Brzozowski, R. Kraemer
Proc. of the 18th Conference on Reconfigurable Ubiquitous
Computing 2015, (2015)
(128) Direct Growth of HfO2 on Graphene by CVD
M. Lukosius, J. Dabrowski, A. Wolff, D. Kaiser, W. Mehr, G. Lupina
Journal of Vacuum Science and Technology B B33, 01A110 (2015)
(129) Residual Metallic Contamination of Transferred Chemical
Vapor Deposited Graphene
G. Lupina, J. Kitzmann, I. Costina, M. Lukosius, Ch. Wenger,
A. Wolff, S. Vaziri, M. Östling, I. Pasternak, A. Krajewska,
W. Strupinski, S. Kataria, A. Gahoi, M. C. Lemme, G. Ruhl, G. Zoth,
O. Luxenhofer, W. Mehr
ACS Nano 9, 4667 (2015)
(130) Zero Lattice Mismatch and Truly Single Crystalline ScN
Buffer Layers for GaN Growth on Silicon
L. Lupina, M. H. Zoellner, T. Niermann, B. Dietrich, G. Capellini,
S. B. Thapa, M. Haeberlen, M. Lehmann, P. Storck, T. Schroeder
Applied Physics Letters 107, 201907 (2015)
(131) Synthesis of Graphene-Like Transparent Conductive Films
on Dielectric Substrates using a Modified Filtered Vacuum
Arc System
H. Lux, P. Siemroth, A. Sgarlata, P. Prosposito, M. A. Schubert,
M. Casalboni, S. Schrader
Journal of Applied Physics 117, 195304 (2015)
(132) Vertical Optical Ring Resonators fully Integrated with Nano
photonic Waveguides on Silicon-on-Insulator Substrates
A. Madani, M. Kleinert, D. Stolarek, L. Zimmermann, L. Ma,
O. G. Schmidt
OSA Optics Letters 40, 3826 (2015)
(133) Group-IV CVD Epitaxy – Key Enabler for High Performance
BiCMOS and Photonic Technologies
A. Mai, Y. Yamamoto, St. Lischke, A. Fox, B. Tillack
Proc. JSPS International Workshop Core-to-Core Program
Atomically Controlled Processing for Ultra-large Scale
Integration, abstr. book (2015)
(134) Multifunctional Technology with Monolithic Integrated THz-,
Photonic-and µ-Fluidic Modules
A. Mai, St. Lischke, M. Wietstruck, L. Zimmermann, M. Kaynak,
B. Tillack
ECS Transactions 69(10), 47(2015)
(135) Reliability Aspects of TiSi-Schottky Barrier Diodes in a
SiGe-BiCMOS Technology
A. Mai, A. Fox
Proc. European Solid-State Device Research Conference
(ESSDERC 2015), 234 (2015)
P u b l ik a t i o n e n
(136) Optische Schnittstelle für photonische Wirebonds in
photonischer BiCMOS-Technologie
Ch. Mai, St. Lischke, M. Kroh, T. Hoose, N. Lindenmann, C. Koos,
A. Mai, L. Zimmermann
Proc. MikroSystemTechnik Kongress (MST 2015), (2015)
(137) J -Band Amplifier Design using Gain-Enhanced Cascodes
in 0.13 µm SiGe
S. Malz, B. Heinemann, R. Lachner, U. Pfeiffer
International Journal of Microwave and Wireless Technologies
7(3 / 4), 339 (215)
(138) M
odular Integration of Annular TSV Structures filled with
Tungsten in a 0.25 µm BiCMOS Technology
St. Marschmeyer, J. Berthold, A. Krüger, M. Lisker, A. Scheit,
S. Schulze, A. Trusch, M. Wietstruck, D. Wolansky
Microelectronic Engineering 137, 153 (2015)
(139) E valuation Platform for Verification of an IR-UWB Ranging
System
D. Martynenko, D. Kreiser, O. Klymenko, G. Fischer
Proc. 12th Workshop in Positioning, Navigation and
Communication (WPNC‘15), (2015)
(140) E nergy Management using the Business Model Approach
B. Matusiak, K. Piotrowski, F. Melo
Proc. of 12th International Conference on the European Energy
Market, (2015)
(141) I nternet of Things in e-Balance Project
B. Matusiak, K. Piotrowski, J. Zielinski
Proc. Zarzadzanie Energia i Teleinformatyka (ZET 2015), (2015)
(142) High-Speed Fabry-Pérot Optical Modulator in Silicon with
3 μm Diode
St. Meister, H. Rhee, A. Al-Saadi, B. A. Franke, S. Kupijai,
C. Theiss, H. J. Eichler, B. Tillack, L. Zimmermann, H. H. Richter,
D. Stolarek, M. Lesny, C. Meuer, C. Schubert, U. Woggon
IEEE Journal of Lightwave Technology 33(4), 878 (2015)
(143) Above 16 % Efficient Sequentially Grown Cu(In,Ga)(Se,S)2Based Solar Cells with Atomic Layer Deposited Zn(O,S) Buffers
S. Merdes, F. Ziem, T. Lavrenko, T. Walter, I. Lauermann,
M. Klingsporn, S. Schmidt, F. Hergert, R. Schlatmann
Progress in Photovoltaics: Research and Applications 23(11),
1493 (2015)
(144) M
ulti-Level 20 Gbit / s PSSS Transmission Using a LinearityLimited 240 GHz Wireless Frontend
T. Messinger, K. KrishneGowda, F. Boes, D. Meier, A. Wolf,
A. Tessmann, R. Kraemer, I. Kallfass
Proc. IEEE International Conference on Microwaves, Communi
cations, Antennas and Electronic Systems (COMCAS 2015), (2015)
(145) C oncurrent Generation of Pseudo Random Numbers with
LFSR of Fibonacci and Galois Type
E. Milovanovic, M. Stojcev, I. Milovanovic, T. Nikolic, Z. Stamenkovic
Computing and Informatics 34(4), 941 (2015)
(146) S oftware-Based Self-Repair for Heterogenous Multi-Core
Systems
S. Müller, H. T. Vierhaus, M. Schölzel
Proc. Workshop on Manufacturable and Dependable Multicore
Architectures at Nanoscale (MEDIAN‘15), 67 (2015)
(147) A Multi-Layer Software-Based Fault-Tolerance Approach
for Heterogenous Multi-Core Systems
S. Müller, T. Koal, S. Scharoba, H. T. Vierhaus, M. Schölzel
Proc. IEEE Latin American Test Symposium (LATS 2015), (2015)
–
P u b l ic a t i o n s
(148) Atomically Controlled Processing for Germanium-Based
CVD Epitaxial Growth
J. Murota, Y. Yamamoto, B. Tillack
ECS Transactions 67(1), 135 (2015)
(149) Interfacebildung an dünnen Oxidschichten auf Silizium
H.-J. Müssig
Proc. Workshop of the European Society of Thin Films:
Morphologie und Mikrostruktur Dünner Schichten und deren
Beeinflussung, (2015)
(150) A Permittivity Sensitive Phase-Locked Loop Based
on a Silicon-Integrated Capacitive Sensor for Microwave
Biosensing Applications
J. Nehring, M. Bartels, R. Weigel, D. Kissinger
Proc. IEEE Topical Conference on Biomedical Wireless
Technologies, Networks and Sensing Systems
(BioWireless 2015), 51 (2015)
(151) Low-Power, High-Gain Transimpedance Amplifier for
Processing Ultra Low AC Current MEMS Output
M. Nenadovic, N. Fiebig, G. Fischer
Proc. 1st URSI Atlantic Radio Science Conference (AT-RASC), 1599 (2015)
(152) Terahertz Gas-Phase Spectroscopy: Chemometrics for
Security and Medical Applications
P. Neumaier, K. Schmalz, J. Borngräber, R. Wylde, H.-W. Hübers
Analyst 140, 213 (2015)
(153) Terahertz Gas-Sensors: Gas-Phase Spectroscopy and
Multivariate Analysis for Medical and Security Applications
P. Neumaier, K. Schmalz, J. Borngräber, D. Kissinger, H.-W. Hübers
Proc. IEEE Sensors 2015, 1909 (2015)
(154) Controlling the Physics and Chemistry of Binary and Ternary
Praseodymium and Cerium Oxide Systems
G. Niu, M. H. Zoellner, A. Schaefer, J.-H. Jhang, V. Zielasek,
M. Bäumer, H. Wilkens,
J. Wollschläger, R. Olbrich, C. Lammers, M. Reichling, T. Schroeder
Physical Chemistry Chemical Physics 17, 24513 (2015)
(155) A Comprehensive Study of the Impact of Dislocation Loops
on Leakage Currents in Si Shallow Junction Devices
C. Nyamhere, A. Scheinemann, A. Schenk, A. Scheit, F. Olivie,
F. Cristiano
Journal of Applied Physics 118, 184501 (2015)
(156) Fabrication of GeSn-Multiple Quantum Wells by Overgrowth
of Sn on Ge by using Molecular Beam Epitaxy
F. Oliveira, I. A. Fischer, A. Benedetti, P. Zaumseil,
M. F. Cerqueira, M. I. Vasilevskiy, S. Stefanov, S. Chiussi, J. Schulze
Applied Physics Letters 107, 262102 (2015)
(157) Polarity Reception for IR-UWB in Wireless Fading Channel
S. Olonbayar, D. Kreiser, R. Kraemer, G. Fischer, D. Martynenko, O. Klymenko
Proc. European Wireless (EW2015), 283 (2015)
(158) Assessing the Success of Stroke Rehabilitation with
Wearable Sensors
St. Ortmann, D. Biswas, A. Cranny, K. Maharatna, J. Achner,
J. Klemke
Proc. Technically Assisted Rehabilitation (TAR 2015), (2015)
An n ual
R ep ort
2015
83
P u b l ik a t i o n e n
(159) Autonomous Execution of Reliable Sensor Network
Applications on Varying Node Hardware
St. Ortmann, P. Langendörfer
Handbook of Research on Innovations in Systems and Software
Engineering, IGI Global, 602 (2015)
(160)Ultra-Wideband SiGe BiCMOS LNA for W-band Applications
E. Öztürk, M. Seyyedesfahlan, M. Kaynak, E. Tekin
Microwave and Optical Technology Letters 57(6), 1274 (2015)
(161) A Power-Gated Sensor Node Microcontroller for Security
Applications
G. Panic, T. Basmer, O. Schrape
Proc. International Symposium on Signals, Systems and
Electronics (ISSSE 2015), ext. abstr. 1576 (2015)
(162) Activity Profiling and Power Estimation for Embedded
Wireless Sensor Node Design
G. Panic, Z. Stamenkovic
Proc. 18th IEEE Symposium on Design and Diagnostics of
Electronic Circuits and Systems (DDECS 2015), 231 (2015)
(163) Low Power Design Techniques
G. Panic
Proc. Informatik 2015, 1379 (2015)
(164) Optimized Graphene Growth on Ge(100) / Si(100) Substrates
I. Pasternak, I. Jozwik, M. Lukosius, Y. Yamamoto, A. Przewloka,
G. Lupina, W. Strupinski
Proc. Graphita 2015, (2015)
(165) Optimized Graphene Growth on Ge(100) / Si(100) Substrates
I. Pasternak, M. Lukosius, Y. Yamamoto, A. Krajewska, G. Lupina,
W. Strupinski
Proc. Graphene 2015, (2015)
(166) Highly Integrated Dual-Band Digital Beamforming Synthetic
Aperture Radar
A. Patyuchenko, M. Younis, G. Krieger, Z. Wang, S. Gao, F. Qin,
C. Mao, S. Glisic, W. Debski, L. Boccia, G. Amendola, E. Arnieri,
M. Krstic, E. Celton, P. Penkala
Proc. European Radar Conference (EuRAD), 1 (2015)
(167) I nnovative Methodology to Define Stakeholders’
Requirements for Smart Systems
J. Peralta, N. Jimenez, A. Casaca, F. Melo, K. Piotrowski
Proc. of the 23rd International Conference and Exhibition on
Electricity Distribution (CIRED 2015), (2015)
(168) M
odeling of Edge-Emitting Lasers Based on Tensile Strained
Germanium Microstripes
D. Peschka, M. Thomas, A. Glitzky, R. Nuernberg, K. Gärtner,
M. Virgilio, S. Guha, T. Schroeder, G. Capellini, Th. Koprucki
IEEE Photonics Journal 7(3), 1502115 (2015)
(169) On Device Concepts for CMOS-Compatible Edge-Emitters
Based on Strained Germanium
D. Peschka, M. Thomas, A. Glitzky, R. Nürnberg, K. Gärtner,
M. Virgilio, S. Guha, T. Schroeder, G. Capellini, T. Koprucki
Proc. 15th International Conference on Numerical Simulation of
Optoelectronic Devices (NUSOD 2015), 121 (2015)
(170) I mplementation of Coherent IEEE 802.15.4 Receiver on
Software Defined Radio Platform
U. Pesovic, D. Gliech, P. Planinsic, Z. Stamenkovic, S. Randjic
Proc. 23rd Telecommunications Forum (TELFOR 2015), 224 (2015)
Annua l
84
Re po r t
2015
–
P u b l ic a t i o n s
(171) Phase-Sensitive Optical Processing in Silicon Waveguides
K. Petermann, A. Gajda, G. Dziallas, M. Jazayerifar, L. Zimmermann,
B. Tillack, F. Da Ros, D. Vukovic, K. Dalgaard, M. Galili, C. Peucheret
Proc. Optical Fiber Communications Conference and Exposition
(OFC 2015), Tu2F.4 (2015)
(172) Analysis of Optical and Electrical Trade-Offs for High-Speed
Operation of Traveling-Wave Depletion-Type Si
Mach-Zehnder Modulators
D. Petousi, L. Zimmermann, A. Gajda, M. Kroh, K. Voigt,
G. Winzer, B. Tillack, K. Petermann
IEEE Journal of Selected Topics in Quantum Electronics 21(4),
3400108 (2015)
(173) A Fast Link Initialization Protocol for Beam Steering based
Cellular Backhaul Systems
M. Petri, M. Ehrig, M. Günther
Network Protocols and Algorithms 7(2), 113 (2015)
(174) Designflow for Radhard TMR Flip-Flops
V. Petrovic, M. Krstic
Proc. 18th IEEE International Symposium on Design and
Diagnostics of Electronic Circuits and Systems (DDECS 2015),
203 (2015)
(175) Verbesserter TMR-Strahlungsschutz für ASIC-Layouts
V. Petrovic, G. Schoof, M. Krstic
Proc. 27. GI/ITG - Workshop über Testmethoden und Zuver
lässigkeit von Schaltungen und Systemen (TuZ 2015), 82 (2015)
(176) Bezpieczenstwo w Systemach Smart Grid na Przykladzie
Projektu E-Balance
K. Piotrowski, J.J. Peralta Escalante
Zdalne Odczyty: Kryptologigia a Biznes - Bezpieczenstwo
Stosowane, Wydawnictwo BTC, 87 (2015)
(177) Bezpieczenstwo w Systemach Smart Grid na Przykladzie
Projektu E-Balance
K. Piotrowski, J. J. Peralta Escalante
Proc. Konferencja Naukowo-Przemyslowa: Kryptologia a Biznes,
Bezpieczenstwo Stosowane (KBBS), I.42015 (2015)
(178) A Novel Program-Verify Algorithm for Multi-bit Operation
in HfO2 RRAM
F. M. Puglisi, Ch. Wenger, P. Pavan
IEEE Electron Device Letters 36(10), 1030 (2015)
(179) Neue Standards für WIFI - Um die Ecke gefunkt
J. Rähm, M. Kloiber, E. Grass
Deutschlandfunk – Computer und Kommunikation, (2015)
(180) Strain and Carrier Transport along Dislocations
M. Reiche, M.Kittler E.Pippel, W. Erfurth, A. Haehnel, H. Uebensee
Physica Status Solidi C 12(8), 1071 (2015)
(181) Customer Integration and Voice-of-Customer Methods
in the German Automotive Industry
A. Rese, A. Sänn, F. Homfeldt
International Journal of Automotive Technology and
Management (IJATM) 15, 1 (2015)
(182) 30 GHz RF-MEMS Dicke Switch Network and a Wideband
LNA in a 0.25 µm SiGeBiCMOS Technology
S. Reyaz, C. Samuelsson, A. Gustafsson, R. Malmqvist,
R. Jonsson, M. Kaynak, A. Rydberg
Advances in Microelectronic Engineering (AIME) 3, 1 (2015)
P u b l ik a t i o n e n
(183) S tructural Mapping of Functional Ge Layers Grown on Graded
SiGe Buffers for sub-10 nm CMOS Applications Using
Advanced X-Ray Nanodiffraction
M.-I. Richard, M. H. Zoellner, G. A. Chahine, P. Zaumseil,
G. Capellini, M. Häberlen, P. Storck, T. U. Schülli, T. Schroeder
ACS Applied Materials & Interfaces 7, 26696 (2015)
(184) A 40 Gb / s 4 Vpp IQ Modulator Driver in 0.13 μm SiGe:C
BiCMOS Technology for 25 Ω Mach Zehnder Modulators
P. Rito, I. Garcia Lopez, D. Micusik, J. Borngräber,
L. Zimmermann, A. C. Ulusoy and D. Kissinger
Proc. IEEE MTT-S International Microwave Symposium
(IMS 2015), (2015)
(185) Engineered Coalescence by Annealing of 3D Ge
Microstructures into High-Quality Suspended Layers on Si
M. Salvalaglio, R. Bergamaschini, F. Isa, A. Scaccabarozzi,
G. Isella, R. Backofen, A. Voigt, F. Montalenti, G. Capellini,
T. Schröder, H. von Känel, L. Miglio
ACS Applied Materials & Interfaces 7, 19219 (2015)
(186) An FPGA Debugging Tool for High Speed Multi-Channel Data
Converter Add-on Boards
V. Sark, J. Gutierrez Teran, E. Grass
Proc. XII International Conference on Electronics, Telecommu
nications, Automation and Informatics (ETAI), 5.1. (2015)
(187) C onfigurable Software Defined Radio System for two Way
Time of Flight Ranging
V. Sark, E. Grass
Proc. International Symposium on Signals, Systems and
Electronics 2015 (ISSSE 2015), ext. abstr. 1402 (2015)
(188) M
ulti-Way Ranging With Clock Offset Compensation
V. Sark, E. Grass, J. Gutierrez Teran
Proc. International Scientific Conference on Advances in
Wireless and Optical Communications (RTUWO 2015), (2015)
(189) P
HY-Layer Limitations of Distance Measurements Using
Round Trip Time of Flight (RTToF)
V. Sark, E. Grass
Proc. XII. International Conference on Electronics, Telecommu
nications, Automation and Informatics (ETAI), 11.1 (2015)
(190) R
eduction of the Clock-Offset Induced Error in Multi-Way
Ranging Scenarios
V. Sark, E. Grass, J. Gutierrez Teran
Proc. 1st International Scientific Conference on Advances
in Wireless and Optical Communications 2015, RTU Press Riga,
158 (2015)
(191) A 246 GHz Fundamental Source with a Peak output Power
of 2.8 dBm
N. Sarmah, B. Heinemann, U. R. Pfeiffer
Proc. 10th European Microwave Integrated Circuits Conference
(EuMIC 2015), 186 (2015)
(192) On Implementation of TPMS receiver for Traffic Data Collection
N. Savic, M. Krstic
Proc. International Symposium on Signals, Systems and
Electronics (ISSSE 2015), ext. abstr. 1363 (2015)
(193) Bottom-up Assembly of Metallic Germanium
G. Scappucci, W. M. Klesse, L. A. Yeoh, D. J. Carter,
O. Warschkow, N. A. Marks, D. L. Jaeger, G. Capellini,
M. Y. Simmons, A. R. Hamilton
Scientific Reports 5, 12948 (2015)
–
P u b l ic a t i o n s
(194) Hexagonal LaLuO3 as High-k Dielectric
A. Schaefer, F. Wendt, S. Mantl, H. Hardtdegen, M. Mikulics,
J. Schubert, M. Luysberg, A. Besmehn, G. Niu, T. Schroeder
Journal of Vacuum Science and Technology B 33, 01A104 (2015)
(195) Polymorphous GdScO3 as High Permittivity Dielectric
A. Schäfer, K. Rahmanizadeh, G. Bihlmayer, M. Luysberg,
F. Wendt, A. Besmehn, A. Fox, M. Schnee, G. Niu, T. Schroeder,
S. Mantl, H. Hardtdegen, M. Mikulics, J. Schubert
Journal of Alloys and Compounds 651, 514 (2015)
(196) Magnetic Anisotropy of Strained Magnetite Thin Films
on MgO(001)
T. Schemme, N. Pathé, K. Kuepper, G. Niu, F. Bertram, T. Kuschel,
J. Wollschläger
Materials Research Express 2, 016101 (2015)
(197) Tunable 500 GHz Sensor System in SiGe Technology for Gas
Spectroscopy
K. Schmalz, J. Borngräber, W. Debski, P. Neumaier, R. Wang,
D. Kissinger, H.-W. Hübers
Electronics Letters 51, 1345 (2015)
(198) Tunable 500 GHz Transmitter-Array in SiGe Technology for
Gas Spectroscopy
K. Schmalz, J. Borngräber, W. Debski, P. Neumaier, R. Wang,
H.-W. Hübers
Electronics Letters 51(3), 257 (2015)
(199) 245 GHz SiGe Sensor System for Gas Spectroscopy
K. Schmalz, R. Wang, W. Debski, H. Gulan, J. Borngräber,
P. Neumaier, H.-W. Hübers
International Journal of Microwave and Wireless Technologies
7(3/4), 271–278 (2015)
(200) 500 GHz Sensor System in SiGe for Gas Spectroscopy
K. Schmalz, P. Neumaier, R. Wang, J. Borngräber, W. Debski,
M. Kaynak, D. Kissinger, H.-W. Hübers
Proc. 40th International Conference on Infrared, Millimeter and
Terahertz Waves (IRMMW-THz 2015), (2015)
(201) A Comparison of the Degradation in RF Performance Due to
Device Interconnects in Advanced SiGe HBT and CMOS
Technologies
R. L. Schmid, A. C. Ulusoy, S. Zeinolabedinzadeh, J. D. Cressler
IEEE Transactions on Electron Devices 62(6) 1803 (2015)
(202) On the Feasibility of Handling Manufacturing Faults in
Embedded Memories by Software Means
M. Schölzel, P. Skoncej, F. Vater
Proc. IEEE International Workshop of Electronics, Control,
Measurement, Signals and their application to Mechatronics,
161 (2015)
(203) Software-based Repair for Memories in Tiny Embedded Systems
M. Schölzel, P. Skoncej, F. Vater
Proc. European Test Symposium (ETS), (2015)
(204) CMOS-Compatible Purely Capacitive Interfaces for
High-Density In-Vivo Recording from Neural Tissue
S. Schröder, C. Cecchetto, S. Keil, M. Mahmud, E. Brose,
Ö. Dogan, G. Bertotti, D. Wolansky, B. Tillack, J. Schneidewind,
H. Gargouri, M. Arens, J. Bruns, B. Szyszka, S. Vassanelli, R. Thewes
Proc. IEEE Biomedical Circuits and Systems Conference
(BioCas 2015), (2015)
An n ual
R ep ort
2015
85
P u b l ik a t i o n e n
(205) Three Dimensional ALD of TiO2 for In-Vivo Biomedical
Sensor Applications
S. Schröder, Ö. Dogan, J. Schneidewind, G. Bertotti, St. Keil,
H. Gargouri, M. Arens, E. Brose, J. Bruns, D. Wolansky, B. Tillack,
St. Vassanelli, B. Szyzka, R. Thewes
Proc. 2015 6th IEEE International Workshop on Advances in
Sensors and Interfaces (IWASI), 21 (2015)
(206) E lectroluminescence of GeSn/Ge MQW LEDs on Si Substrate
B. Schwartz, M. Oehme, K. Kostecki, D. Widmann, M. Gollhofer,
R. Koerner, S. Bechler, I. A. Fischer, T. Wendav, E. Kasper,
J. Schulze, M. Kittler
OSA Optics Letters 40(13), 3209 (2015)
(207) I ntegrated Circuit Failure Mechanisms and the Impacts of
Technology Downscaling
A. Simevski
Proc. International Conference on Electronics, Telecommuni
cations, Automation and Informatics (ETAI 2015), 5.5 (2015)
(208) A PEDA Approach for Monolithic Photonic BiCMOS
Technologies
S. Simon, G. Winzer, H. Roßmann, M. Kroh, L. Zimmermann,
Th. Mausolf
Proc. SPIE Microtechnologies - Integrated Photonics: Materials,
Devices, and Applications III, 9520, 95200F-1 (2015)
(209) S olid-Phase Epitaxy of Amorphous Si1-xGex by in-Situ
Postannealing
O. Skibitzki, Y. Yamamoto, M. A. Schubert, B. Tillack
Thin Solid Films 593, 91(2015)
(210) S oftwarebasierte Selbstreparatur von Flash-Speichern für
fehlertolerante mikrocontroller-basierte Systeme
P. Skoncej, F. Mühlbauer, M. Schölzel
Proc. Workshop für Fehlertolerante und energieeffiziente
eingebettete Systeme: Methoden und Anwendungen,
Informatik, 1461 (2015)
(211) P
rojekt Smartie: Bezpieczenstwo, Prywatnosc i Poufnosc
w Zarzadzaniu Danymi w Inteligentych Miastach
A. Sojka-Piotrowska
Proc. II Konferencja Naukowo-Przemyslowa: Kryptologia
a Biznes, Bezpiechenstwo Stosowane (KBBS 2015), (2015)
(212) P
rojekt Smartie: Bezpieczenstwo, Prywatnosc i Poufnosc
w Zarzadzaniu Danymi w Inteligentych Miastach
A. Sojka-Piotrowska
Zdalne Odczyty: Kryptologia a Biznes - Bezpieczentswo
Stosowane, Wydawnictwo BTC, 99 (2015)
(213) A Class-E Tuned W-Band SiGe Power Amplifier With 40.4 %
Power-Added Efficiency at 93 GHz
P. Song, M. A. Oakley, A. C. Ulusoy, M. Kaynak, B. Tillack,
G. A. Sadowy, J. D. Cressler
IEEE Microwave and Wireless Components Letters 25(10),
663 (2015)
(214) A Lens-Coupled 210-270 GHz Circularly Polarized FMCW
Radar Transceiver Module in SiGe Technology
K. Statnikov, J. Grzyb, N. Sarmah, B. Heinemann, U. R. Pfeiffer
Proc. 10th European Microwave Integrated Circuits Conference
(EuMIC 2015), 530 (2015)
Annua l
86
Re po r t
2015
–
P u b l ic a t i o n s
(215) 160-GHz to 1-THz Multi-Color Active Imaging with a
Lens-Coupled SiGe HBT Chip-Set
K. Statnikov, J. Grzyb, B. Heinemann, U. R. Pfeiffer
IEEE Transactions on Microwave Theory and Techniques 63(2),
520 (2015)
(216) A Secure Isolation of Software Activities in Tiny Scale
Systems
O. Stecklina
Proc. Ph. D. Forum on Pervasive Computing and
Communications (PerComm), 245 (2015)
(217) Intrinsic Code Attestation by Instruction Chaining for
Embedded Devices
O. Stecklina, P. Langendörfer, F. Vater, T. Kranz, G. Leander
Proc. 11th EAI International Conference on Security and
Privacy in Communication Networks, (2015)
(218) A Novel Compact Dual-Band Bandpass Waveguide Filter
S. Stefanovski, M. Potrebic, D. Tosic, Z. Stamenkovic
Proc. 18th IEEE Symposium on Design and Diagnostics of
Electronic Circuits and Systems (DDECS 2015), 51 (2015)
(219) Novel Ring Resonator Concept Combining Strong Field
Confinement with High Optical Quality Factor
P. Steglich, Ch. Mai, D. Stolarek, St. Lischke, S. Kupijai,
C. Villringer, S. Pulwer, F. Heinrich, J. Bauer, D. Knoll,
St. Meister, M. Casalboni, S. Schrader
IEEE Photonics Technology Letters 27(20), 2197 (2015)
(220) Grain Boundary Segregation in Multicrystalline Silicon:
a Correlative Study using EBSD, EBIC and Atom Probe
Tomography
A. Stoffers, O. Cojocaru-Miredin, W. Seifert, S. Zaefferer,
S. Riepe, D. Raabe
Progress in Photovoltaics: Research and Applications 23,
1742 (2015)
(221) RF-MEMS Switched W-Band mm-Wave Passive Imaging
System in a 0.13 μm SiGe BiCMOS Technology
A. Strodl, S. Tolunay, V. Valenta, M. Kaynak, S. Reyaz, R. Johnson,
W. Winkler, R. Malmqvist, H. Schumacher
Proc. International Symposium on RF MEMS and
RF Microsystems (MEMSWAVE 2015), 64 (2015)
(222) 0.25 μm BiCMOS System-on-Chip with Four Transceivers for
Ka-Band Active Reflectarrays
F. Tabarani, T. Chaloun, T. Purtova, M. Kaynak, H. Schumacher
Proc. SBMO / IEEE MTT-S International Microwave and
Optoelectronics Conference (IMOC 2015), (2015)
(223) High Quality GeSn Layer Formation Due to Well-controlled
Sn Migration at High Temperature
N. Taoka, G. Capellini, P. Zaumseil, I. Costina, M. A. Schubert,
T. Schroeder
Proc. International Conference on Solid State Devices and
Materials (SSDM 2015), 896 (2015)
(224) Non-Uniform Depth Distributions of Sn Concentration
Induced by Sn Migration and Desorption during GeSnSi
Layer Formation
N. Taoka, T. Asano, T. Yamaha, T. Terashima, O. Nakatsuka,
I. Costina, P. Zaumseil, G. Capellini, S. Zaima, T. Schroeder
Applied Physics Letters 106, 061107 (2015)
P u b l ik a t i o n e n
(225) S n Migration Control Based on Quantitative Prediction Using
Simple Model for Aiming Sn-related Crystal Formation with
Device Quality
N. Taoka, T. Asano, T. Yamaha, T. Terashima, O. Nakatsuka,
I. Costina, G. Capellini, S. Zaima, T. Schroeder
Proc. JSPS International Workshop Core-to-Core Program
Atomically Controlled Processing for Ultra-large Scale
Integration, abstr. book (2015)
(226) Autonomous Sensor Capsule for Usage in Bioreactors
N. Todtenberg, S.-T. Schmitz-Hertzberg, J. Klatt, F. Jorde,
B. Jüttner, K. Schmalz, R. Kraemer
IEEE Sensors Journal 15(7), 4093 (2015)
(227) 9
4 GHz RF–MEMS SPDT Switch in a 0.13 µm SiGe BiCMOS
Technology
S. Tolunay, A. Göritz, M. Wietstruck, Ch. Wipf, B. Tillack, M. Kaynak
Proc. International Symposium on RF MEMS and
RF Microsystems (MEMSWAVE 2015), 85 (2015)
(228) S ecuring the Internet of Things - Security and Privacy in a
Hyperconnected World
E. Z. Tragos, H. C. Pöhls, R. Staudemeyer, D. Slamanig,
A. Kapovits, S. Suppan, A. Fragkiadakis, G. Baldini, R. Neisse,
P. Langendörfer, Z. Dyka, Ch. Wittke
Building the Hyperconnected Society - Internet of Things
Research and Innovation – Value Chains, Ecosystem and
Markets, River Publishers, (2015)
(229) A Broadband 3-29 GHz Reflectometer with a Frequency
Compensated Multilayer Sixport Structure
F. Trenz, M. Hofmann, R. Weigel, D. Kissinger
Proc. 45th European Microwave Conference (EuMC 2015), 323
(2015)
(230) M
odeling and Measurement of a Tissue-Equivalent Liquid for
Noninvasive Dehydration Sensing in the 2.45 GHz ISM-Band
F. Trenz, V. Kalpen, R. Weigel, D. Kissinger
Proc. IEEE MTT-S International Microwave Workshop Series on
RF and Wireless Technologies for Biomedical and Healthcare
Applications (IMWS-Bio 2015), 62 (2015)
(231) A SiGe D-Band Low-Noise Amplifier Utilizing Gain-Boosting
Technique
A. C. Ulusoy, P. Song, W.T. Khan, M. Kaynak, B. Tillack,
J. Papapolymerou, J. D. Cressler
IEEE Microwave and Wireless Components Letters 25(1), 61 (2015)
(232) High-Performance W-band LNA and SPDT Switch in 0.13 µm
SiGe HBT Technology
A. C. Ulusoy, R. L. Schmid, M. Kaynak, B. Tillack, J. D. Cressler
Proc. of the Radio Wireless Symposium, 162 (2015)
(233) C ompiler-Centered Microprocessor Design (CoMet) –
From C-Code to a VHDL Model of an ASIP
R. Urban, M. Schölzel, H. T. Vierhaus, E. Altmann, H. Selig
Proc. 18th IEEE International Symposium on Design and
Diagnostics of Electronic Circuits and Systems (DDECS 2015),
17 (2015)
(234) S ingle-Chip Transmit-Receive Module with a Fully Integrated
Differential RF-MEMS Antenna Switch and a High-Voltage
Generator for F-Band Radars
V. Valenta, H. Schumacher, S. Tolunay Wipf, M. Wietstruck,
A. Göritz, M. Kaynak, W. Winkler
Proc. IEEE Bipolar / BiCMOS Circuits and Technology Meeting
(BCTM 2015), 40 (2015)
–
P u b l ic a t i o n s
(235) Möglichkeiten der Nutzung von RRAM in Low-Power
Microcontrollern
F. Vater, G. Panic, M. Schölzel
Proc. Workshop Fehlertolerante und energieeffiziente
eingebettete Systeme: Methoden und Anwendungen
(Informatik 2015), INFORMATIK 2015, Lecture Notes in
Informatics (LNI), Gesellschaft für Informatik, 1475 (2015)
(236) Bilayer Insulator Tunnel Barriers for Graphene Based
Vertical Hot-Electron Devices
S. Vaziri, M. Belete, E. Dentoni Litta, A. D. Smith, G. Lupina,
M. C. Lemme, M. Östling
Nanoscale 7, 13096 (2015)
(237) Going Ballistic: Graphene Hot Electron Transistors
S. Vaziri, M. Östling, G. Lupina, J. Dabrowski, G. Lippert,
F. Driussi, S. Venica, V. Di Lecce, A. Gnudi, M. König, G. Ruhl,
M. Belete, M. C. Lemme
Solid State Communications 224, 64 (2015)
(238) Step Tunneling-Enhanced Hot-Electron Injection in Vertical
Graphene Base Transistors
S. Vaziri, M. Belete, A. D. Smith, E. Dentoni Litta, G. Lupina,
M. C. Lemme, M. Ostling
Proc. European Solid-State Device Research Conference
(ESSDERC 2015), 198 (2015)
(239) Permittivity Sensor Based on 60 GHz Patch Antenna
S. Vehring, S. Guha, F. I. Jamal, M. Kucharski, Ch. Meliani
Proc. German Microwave Conference (GeMiC 2015), 311 (2015)
(240) CMOS-Compatible Optical Switching Concept Based on
Strain-Induced Refractive-Index Tuning
M. Virgilio, B.Witzigmann, G. Bolognini, S. Guha, T. Schroeder,
G. Capellini
Optics Express 23, 5930 (2015)
(241) Radiative and Non-Radiative Recombinations in Tensile
Strained Ge Microstrips: Photoluminescence Experiments
and Modeling
M. Virgilio, T. Schroeder, Y. Yamamoto, G. Capellini
Journal of Applied Physics 118, 233110 (2015)
(242) Label-Free SERS Selective Detection of Dopamine and
Serotonin Using Graphene-Au Nanopyramid Heterostructure
P. Wang, M. Xia, O. Liang, K. Sun A. F. Cipriano, T. Schroeder,
H. Liu, Y.-H. Xie
ACS Analytical Chemistry 87, 10255 (2015)
(243) Neue Methodik zur Implementierung fehlertoleranter
pipeline-basierter Architekturen
S. Weidling, M. Krstic
Proc. 27. GI / ITG - Workshop über Testmethoden und
Zuverlässigkeit von Schaltungen und Systemen (TuZ 2015),
86 (2015)
(244) SciFab – a Wafer Level Heterointegrated InP DHBT / SiGe
BiCMOS Process for mm-Wave Applications
N. Weimann, S. Hochheim, D. Rentner, D. Stoppel, M. Hossain,
T. Al-Sawaf, V. Krozer, B. Janke, M. Lisker, A. Krüger, Ch. Meliani,
B. Tillack
Proc. Compound Semiconductor Week (CSW 2015), (2015)
(245) Entwicklung einer neuartigen nichtflüchtigen Speicher
technologie für zukünftige Automobilanwendungen
Ch. Wenger, E. Hildebrandt, S. Vogel, S. U. Sharath, L. Alff
Proc. MikroSystemTechnik-Kongress 2015 (MST 2015), 346 (2015)
An n ual
R ep ort
2015
87
P u b l ik a t i o n e n
(246) G
raphene Based Electron Field Emitter
Ch. Wenger, J. Kitzmann, A. Wolff, M. Fraschke, Ch. Walczyk,
G. Lupina, W. Mehr, M. Junige,
M. Albert, J. W. Bartha
Journal of Vacuum Science and Technology B 33, 01A109 (2015)
(247) R
eliability of BiCMOS embedded MEMS Varactors for
Wideband RF VCO Applications
M. Wietstruck, G. Kahmen, A. Göritz, S. Tolunay, B. Tillack,
M. Kaynak
Proc. International Symposium on RF MEMS and
RF Microsystems (MEMSWAVE 2015), 108 (2015)
(248) P
ost Deposition Annealing of Epitaxial Ce1-xPrxO2- Films
Grown on Si(111)
H. Wilkens, W. Spieß, M. H. Zoellner, G. Niu, T. Schroeder,
J. Wollschläger
Physical Chemistry Chemical Physics 17, 9991 (2015)
(249) M
onolithic Photonic-Electronic QPSK Receiver for 28 Gbaud
G. Winzer, M. Kroh, St. Lischke, D. Knoll, K. Voigt, H. Tian,
Ch. Mai, D. Petousi, D. Micusik, L. Zimmermann, B. Tillack,
K. Petermann
Proc. Optical Fiber Communications Conference and Exhibition
2015, M3C.4 (2015)
(250) Ternary and Quaternary Ni(Si)Ge(Sn) Contact Formation for
Highly Strained Ge p- and n-MOSFETs
S. Wirths, R. Troitsch, G. Mussler, J.-M. Hartmann, P. Zaumseil,
T. Schroeder, S. Mantl, D. Buca
Semiconductor Science and Technology 30, 055003 (2015)
(251) I nfluence of Electrical Circuits of ECC Designs on Shape of
Electromagnetic Traces Measured on FPGA
Ch. Wittke, Z. Dyka, P. Langendörfer
über: http://eprint.iacr.org/
(252) S pectroscopic Signatures of AA‘ and AB Stacking of Chemical
Vapor Deposited Bilayer MoS2
M. Xia, B. Li, K. Yin, G. Capellini, G. Niu, Y. Gong, W. Zhou,
P.M. Ajayan, Y.-H. Xie
ACS Nano 9(12), 12246 (2015)
(253) Advanced Germanium Epitaxy for Photonics Application
Y. Yamamoto, St. Lischke, L. Zimmermann, D. Knoll, J. Murota,
B. Tillack
Proc. ULSIC vs. TFT5 International Conference on
Semiconductor Technology for Ultra Large Scale Integrated
Circuits and Thin Film Transistors, (2015)
(254) Advanced Germanium Epitaxy for Photonics Application
Y. Yamamoto, St. Lischke, L. Zimmermann, D. Knoll, J. Murota,
B. Tillack
ECS Transactions 67(1), 123 (2015)
(255) Arsenic Atomic Layer Doping in Si Using AsH3
Y. Yamamoto, R. Kurps, J. Murota, B. Tillack
Solid State Electronics 110, 29 (2015)
(256) C and Si Delta Doping in Ge by CH3SiH3 using RPCVD
Y. Yamamoto, J. Murota, B. Tillack
Proc. 9th International Conference on Silicon Epitaxy and
Heterostructures (ICSI 2015), 147 (2015)
Annua l
88
Re po r t
2015
–
P u b l ic a t i o n s
(257) C and Si Delta Layer Formation in Ge by CH3SiH3 using RPCVD
Y. Yamamoto, N. Ueno, M. Sakuraba, J. Murota, B. Tillack
Proc. JSPS International Workshop Core-to-Core Program,
Atomically Controlled Processing for Ultralarge Scale
Integration, abstr. book (2015)
(258) High Quality Local GeOI Fabrication by Lateral Selective
Growth of Germanium
Y. Yamamoto, M. A. Schubert, Ch. Reich, B. Tillack
Proc. 8th International Workshop on New Group IV
Semiconductor Nanoelectronics and JSPS Core-to-Core Program
Joint Seminar „Atomically Controlled Processing for Ultralarge
Scale Integration“, (2015)
(259) Deposition of Iridium Thin Films on Three-Dimensional
Structures with Plasma Enhanced Metalorganic Chemical
Vapor Deposition
C. P. Yeh, M. Lisker, J. Bläsing, B. Kalkofen, O. Khorkhordin,
E. P. Burte
Chemical Vapor Deposition 20, 1 (2015)
(260) A D-Band Active Imager in a SiGe HBT Technology
D. Yoon, K. Song, J. Kim, M. Kaynak, B. Tillack, J.-S. Rieh
International Journal of Infrared and Millimeter Waves 36(4), 335 (2015)
(261) A Wideband H-Band Image Detector Based on SiGe HBT
Technology
D. Yoon, M. Kaynak, B. Tillack, J.-S. Rieh
Journal of Electromagnetic Engineering and Science 15(1),
59 (2015)
(262) An Oscillator and a Mixer for 140-GHz Heterodyne Receiver
Front-End based on SiGe HBT Technology
D. Yoon, K. Song, M. Kaynak, B. Tillack, J.-S. Rieh
Journal of Semiconductor Technology and Science 15(1) (2015)
(263) 3-D THz Tomography with an InP HBT Signal Source and a
SiGe HBT Imaging Receiver Operating near 300 GHz
D. Yoon, J. Yun, J. Kim, K. Song, M. Kaynak, B. Tillack J.-S. Rieh
Proc. 40th International Conference on Infrared, Millimeter, and
Terahertz Waves (IRMMW-THz 2015), (2015)
(264) Engineering Interface-Type Resistive Switching in BiFeO3
Thin Film Switches by Ti Implantation of Bottom Electrodes
T. You, X. Ou, G. Niu, F. Bärwolf, G. Li, N. Du, D. Bürger,
I. Skorupa, W. Yu, X. Wang, O. G. Schmidt, H. Schmidt
Scientific Reports 5, 18623 (2015)
(265) Two 320 GHz Signal Sources based on SiGe HBT Technology
J. Yun, D. Yoon, S. Jung, M. Kaynak, B. Tillack, J.-S. Rieh
IEEE Microwave and Wireless Components Letters 25(3),
178 (2015)
(266) Growth and Applications of GeSn-Related Group-IV
Semiconductor Materials
S. Zaima, O. Nakatsuka, N. Taoka, M. Kurosawa, W. Takeuchi,
M. Sakashita
Science and Technology of Advanced Materials 16,
043205 (2015)
(267) RRAM Reliability and Performance Characterization Through
Array Architectures Investigations
C. Zambelli, A. Grossi, P. Olivo, Ch. Walczyk, Ch. Wenger
Proc. IEEE Computer Society Annual Symposium on VLSI
(ISVLSI), 327 (2015)
P u b l ik a t i o n e n
(268) High-Resolution Characterization of the Forbidden
Si (200) and (222) Reflection
P. Zaumseil
Journal of Applied Crystallography 48, 528 (2015)
(269) S iGe Mediated Misfit Dislocation Free Epitaxial Growth
of Ge on Nano-Structured Si Pillars
P. Zaumseil, Y. Yamamoto, M. A. Schubert, G. Capellini,
M. H. Zoellner, O. Skibitzki, T. Schroeder
Proc. 9th International Conference on Silicon Epitaxy and
Heterostructures (ICSI 9), 23 (2015)
(270) Tailoring the Strain in Si Nano-Structures for Defect-Free
Epitaxial Ge over Growth
P. Zaumseil, Y. Yamamoto, M. A. Schubert, G. Capellini,
O. Skibitzki, M. H. Zoellner, T. Schroeder
Nanotechnology 26(35), 355707 (2015)
(271) A Design Preconditioning Flow for Low-Noise Circuits
St. Zeidler, X. Fan, O. Schrape, M. Krstic
Proc. 18th IEEE International Symposium Design and
Diagnostics of Electronic Circuits and Systems (DDECS 2015),
191 (2015)
(272) A Survey about Testing Asynchronous Circuits
St. Zeidler, M. Krstic
Proc. 22nd European Conference on Circuit Theory and Design
(ECCTD 2015), (2015)
(273) S -Parameter Characterization and Lumped-Element
Modelling of mm-Wave Single-Drift IMPATT Diode
W. Zhang, Y. Yamamoto, M. Oheme, K. Matties, B. Tillack,
E. Kasper, J. Schulze
Proc. International Conference on Solid State Devices and
Materials (SSDM 2015), 802 (2015)
(274) BiCMOS Silicon Photonics Platform
L. Zimmermann, D. Knoll, M. Kroh, St. Lischke, D. Petousi,
G. Winzer, Y. Yamamoto
Proc. Optical Fiber Communication Conference and
Exposition / National Fiber Optic Engineers Conference
(OFC / NFOEC 2015), TH4E.5 (2015)
(275) F EOL Integration of Silicon- and Germanium-Based
Photonics in Bulk-Silicon, High-Performance SiGe: C-BiCMOS
Processes
L. Zimmermann, D. Knoll, B. Tillack
Photonics and Electronics with Germanium, Wiley Verlag, 5,
101 (2015)
(276) Accessing the Structural and Compositional Homogeneity
of Strained Ge on SiGe Virtual Substrates by Scanning X-Ray
Diffraction Microscopy
M. H. Zoellner, G. Chahine, M.-I. Richard, P. Zaumseil, Ch. Reich,
M. Häberlen, G. Capellini, P. Storck, T. U. Schülli, T. Schroeder
Proc. International Conference on Silicon Epitaxy and
Heterostructures (ICSI-2015), 37 (2015)
(277) I maging Structure and Composition Homogeneity of
300 mm SiGe Virtual Substrates for Advanced CMOS
Applications by Scanning X-ray Diffraction Microscopy
M.H. Zoellner, M.-I. Richard, G. Chahine, P. Zaumseil, Ch. Reich,
G. Capellini, F. Montalenti, A. Marzegalli, Y. H. Xie, T. U. Schülli,
M. Häberlen, P. Storck, T. Schroeder
ACS Applied Materials and Interfaces 7, 9031 (2015)
–
P u b l ic a t i o n s
Eingeladene Vorträge | Invited Presentations
(1) Moderne Informatiksysteme – Quadrocopter Programmierung
T. Basmer, N. Todtenberg
45. Jahrestagung der Gesellschaft für Informatik, Cottbus, September 28 - October 02, 2015, Germany
(2) BioMEMS for Affinity Viscosimetric Detection of
Low-Molecular Weight Analytes
M. Birkholz
Bioelektronik-Workshop, Aachen, March 23 - 24, 2015, Germany
(3) BioMEMS for Affinity Viscosimetric Detection of
Low-Molecular Weight Analytes
M. Birkholz
FB-Seminar der Arbeitsgruppe „Durchflusszytometrie und
Mikroskopie“, Physikalisch-Technische Bundesanstalt, Berlin,
October 06, 2015, Germany
(4) Depth-Dependent Evolution of Texture and Stress in Thin Films
M. Birkholz
29th European Crystallographic Meeting, Rovinj, August 23 - 28,
2015, Croatia
(5) Technologiemodule der Mikroelektronik für die
Lebenswissenschaft
M. Birkholz
Workshop PAT/Bioelektronik, Berlin, May 07, 2015, Germany
(6) SiGe HBT and BiCMOS Process Integration Optimization
within the DOTSEVEN Project
J. Böck, K. Aufinger, S. Boguth, C. Dahl, H. Knapp, W. Liebl,
D. Manger, T. F. Meister, A. Pribil, J. Wursthorn, R. Lachner,
B. Heinemann, H. Rücker, A. Fox, R. Barth, G. Fischer,
St. Marschmeyer, D. Schmidt, A. Trusch, Ch. Wipf
IEEE Bipolar / BiCMOS Circuits and Technology Meeting
(BCTM 2015), Boston, October 26 - 28, 2015, USA
(7) In-Operando HAXPES: A Powerful Tool for Unveiling
Resistance Switching Physics of RRAM
P. Calka
HAXPES 2015, Hsinchu, March 30 - April 03, 2015, Taiwan
(8) Presentation of R2RAM H2020 Project
C. Calligaro, Ch. Wenger
ESA Workshop on Memristive Systems for Space Applications,
Noordwijk, April 30, 2015, The Netherlands
(9) Nanoheteropitaxial Ge Structure for Nano Optoelectronic
Devices on Silicon
G. Capellini, P. Zaumseil, Y. Yamamoto, O. Skibitzki, N. Taoka,
F. Montalenti, A. Marzegalle, T. Schroeder
15th IEEE International Conference on Environment and Electri
cal Engineering (EEEIC 2015), Rome, June 10 - 12, 2015, Italy
(10) Spectroscopy and Microscopy of Graphene on Metals
Y. Dedkov
EFDS Graphene Workshop 2015, Dresden, November 03, 2015,
Germany
(11) A Time to Digital Converter based UWB Radar Frontend
System for Multiple Target Applications
D. Genschow
International Microwave Symposium (IMS 2015), Phoenix,
May 17 - 22, 2015, USA
An n ual
R ep ort
2015
89
P u b l ik a t i o n e n
(12) Dynamically Reconfigurable Optical-Wireless Backhaul / Fronthaul with Cognitive Control Plane for Small Cells and
Cloud RANs (5G-XHaul)
E. Grass, J. Gutierrez Teran, D. Camps-Mur
Software Defined Networks - A joint Jisc / Towards Convergence
of all Networks (TOUCAN) Meeting and Workshop, Bristol,
September 09 - 10, 2015, UK
(13) 5G-XHaul: Introduction and mmWave Work
E. Grass, J. Gutierrez Teran, V. Sark, D. Cvetkovski
mmMAGIC Workshop, Dez. 2015, München,
November 30 - December 02, 2015, Germany
(14) Radiation Hard Design of HfO2 based 1T1R Cells and
Memory Arrays
A. Grossi, C. Calligaro, E. Perez, F. Teply, Th. Mausolf, C. Zambelli,
P. Olivo, Ch. Wenger
International Conference on Memristive Systems
(MEMRISYS 2015), Paphos, November 08 - 10, 2015, Cyprus
(15) Integrated Microwave bio-Sensors on SiGe BiCMOS
Technology: A “More Than Moore” Approach
S. Guha
TU, Berlin, September 24, 2015, Germany
(16) Wireless Integrated Sensor Nodes for Indoor Monitoring
and Localization
D. Kissinger, A. Schwarzmeier, F. Grimminger, J. Mena-Carrillo,
W. Weber, G. Hofer, G. Fischer, R. Weigel
IEEE Topical Conference on Wireless Sensors and Sensor
Networks (WiSNet 2015), San Diego, California, January 26 - 28,
2015, USA
(17) Internal Gettering for Microelectronic Applications
G. Kissinger, D. Kot, M. A. Schubert, A. Sattler, T. Müller
Gettering and Defect Engineering in Semiconductor Technology
(GADEST 2015), Bad Staffelstein, September 20 - 25, 2015, Germany
(18) Gettering in Silicon
G. Kissinger, D. Kot, M. Klingsporn, M. A. Schubert, A. Sattler,
T. Müller
5. Sino-German Symposium: The Silicon Age: Silicon and
Related IV Group Semiconductor Materials, Hangzhou,
August 31 - September 05, 2015, China
(19) High-Performance BiCMOS Si Photonics Platform
D. Knoll, St. Lischke, A. Awny, M. Kroh, E. Krune, Ch. Mai,
A. Peczek, D. Petousi, S. Simon, K. Voigt, G. Winzer, R. Barth,
L. Zimmermann
IEEE Bipolar/BiCMOS Circuits and Technology Meeting
(BCTM 2015), Boston, October 26 - 28, 2015, USA
(20) Design of Reliable Systems - A Multi-Layer Approach
R. Kraemer
Kolloquium der University of Arkansas, Faculty Computer
Science & Computer Engineering, Fayetteville, March 05, 2015, USA
(21) Vom Internet der Dinge zum taktilen Internet:
Notwendige Änderungen für Industrie 4.0
R. Kraemer
1. Frankfurter Wirtschafts- und Wissenschaftstag,
Frankfurt (Oder), January 29, 2015, Germany
(22) Globally Asynchronous Locally Synchronous Design
Methodology in ASICs for Wireless Communications
M. Krstic
Austrochip 2015, 23rd Austrian Workshop on Microelectronics,
Wien, September 28, 2015, Austria
Annua l
90
Re po r t
2015
–
P u b l ic a t i o n s
(23) Overview of the Methods for Design of Application Specific
Integrated Circuits (ASICs) Explored at the IHP Institute at
Frankfurt (Oder), Germany
M. Krstic
University of Texas, Austin, May 07, 2015, USA
(24) Security and the Internet of Things
P. Langendörfer, I. Kabin, K. Piotrowski, E. Alpirez Bock, Z. Dyka,
O. Stecklina
9th IEEE International Conference on Open Source Systems and
Technologies” (ICOSST-2015), Lahore, December 17 - 19, 2015
(25) Monolithic Integration of High Bandwidth Waveguide
Coupled Ge Photodiode in a Photonic BiCMOS Process
St. Lischke, D. Knoll, L. Zimmermann
SPIE Photonics West, San Francisco, February 07 - 12, 2015, USA
(26) GaN on Si: The Example of Functional Bixbyite Oxide Layers
for GaN- based UV Detectors
G. Lupina, L. Lupina, A. Szyszka, M. A. Schubert, P. Storck,
T. Schroeder
5th Sino-German Symposium „The Silicon Age“, Hangzhou,
August 31 - September 04, 2015, China
(27) Graphen auf großflächigen Si-Wafern - Chancen und
Anforderungen
G. Lupina, M. Lukosius, M. Jurdana, J. Kitzmann, A. Wolff,
J. Dabrowski, G. Lippert, T. Schroeder
EFDS Workshop „Graphenschichten - Abscheideverfahren und
Eigenschaften“, IKTS Dresden, November 03, 2015, Germany
(28) Progress in Graphene Synthesis and Process Integration at IHP
G. Lupina, M. Lukosius, A. Wolff, G. Lippert, J. Dabrowski,
J. Kitzmann, I. Costina, M. Fraschke, M. Jurdana, Ch. Wenger,
T. Schroeder
Paul Drude Institute Seminar, Berlin, July 07, 2015, Germany
(29) Functional Bixbyite Oxide Layers for GaN-based UV
Detectors on Silicon
L. Lupina, A. Szyszka, M. A. Schubert, P. Storck, T. Schroeder
TU, Chemnitz, February 02, 2015, Germany
(30) Functional Bixbyite Oxide Layers for GaN- based UV
Detectors on Silicon
L. Lupina, A. Szyszka, M. Schubert, P. Storck, T. Schroeder
MRS 2015 Spring Meeting, San Francisco, April 06 - 10, 2015, USA
(31) Group-IV CVD Epitaxy – Key Enabler for High Performance
BiCMOS and Photonic Technologies
A. Mai, Y. Yamamoto, St. Lischke, A. Fox, B. Tillack
JSPS International Workshop Core-to-Core Program Atomically
Controlled Processing for Ultra-large Scale Integration,
Marseille, July 09 - 10, 2015, France
(32) Multifunctional Technology with Monolithic Integrated
THz-, Photonic-and µ-Fluidic Modules
A. Mai, St. Lischke, M. Wietstruck, L. Zimmermann, M. Kaynak,
B. Tillack
ECS Meeting, Phoenix, Arizona, October 11 - 15, 2015, USA
(33) SiGe-BiCMOS Technologies with Monolithic Integrated
THz-Devices and Photonic Modules
A. Mai
Microelectronics Technology, Circuits, and Systems for Space
Applications Workshop Sabanci University Istanbul Turkey,
Istanbul, June 15 - 17, 2015, Turkey
P u b l ik a t i o n e n
(34) Integrated mm-Wave Near-Field Sensor Concepts for
Biomaterial Characterization
Ch. Meliani, S. Guha, F. I. Jamal, M. H. Eissa, S. Vehring
Workshop WS1, EuMW2015, Paris, September 06 - 11, 2015, France
(35) Hardware- and Software-based Methods for Building
Reliable Multicore Systems
S. Müller, A. Simevski, T. Koal, S. Scharoba, H. T. Vierhaus,
M. Schölzel, R. Kraemer
ESSEI TecDay 2015 - Multi-core, the challenge in avionics,
München, October 13 - 14, 2015, Germany
(36) Atomically Controlled Processing for Germanium-Based CVD
Epitaxial Growth
J. Murota, Y. Yamamoto, B. Tillack
2015 International Conference on Semiconductor Technology
for Ultra Large Scale Integrated Circuits and Thin Film
Transistors (ULSC vs. TFT5), Lake Tahoe, June 14 - 18, 2015, USA
(37) Interfacebildung an dünnen Oxidschichten auf Silizium
H.-J. Müssig
Workshop of the European Society of Thin Films: „Morphologie
und Mikrostruktur Dünner Schichten und deren Beeinflussung“,
Fraunhofer IWS, Dresden, March 12, 2015, Germany
(38) HfO2 based RRAM: Recent Research Highlights at IHP
G. Niu
Seminar at Institute of Electrical Engineering (IEE) Slovak
Academia of Science Bratislava, Bratislava, April 14, 2015, Slovakia
(39) Low Power Design Techniques
G. Panic
Informatik 2015, Cottbus, September 28 - October 02, 2015,
Germany
(40) Design Methodology for Highly Reliable Digital ASIC Designs
V. Petrovic
DCPS Workshop / Tutorial Announcement “Error Resilience in
Nano-Electronic Circuits and Systems”, Cottbus, May 29, 2015,
Germany
(41) Bezpieczenstwo w Systemach Smart Grid na Przykladzie
Projektu E-Balance
K. Piotrowski, J. J. Peralta Escalante
Konferencja Naukowo-Przemyslowa: Kryptologia a Biznes,
Bezpieczenstwo Stosowane (KBBS), Zielona Gora,
March 17 - 18, 2015, Poland
(42) Self-Test and Self-Repair for Statically Scheduled Processors
in Multi-Core Systems
M. Schölzel
2nd International Summer Training School on Manufacturable
and Dependable Multi-Core Architectures at Nanoscale, Prague,
July 13 - 15, 2015, Czech Republic
(43) Turning Sample into (Re)solution: Focused Ion Beam shaped
Solid Immersion Lenses
P. Scholz, M. Sadowski, S. Kupijai, M. Henniges, Ch. Theiss,
St. Meister, D. Stolarek, H. H. Richter, M. Boostandoost, Ch. Boit
International Symposium for Testing and Failure Analysis
(ISTFA 2015), Portland, November 01 - 05, 2015, USA
(44) Three Dimensional ALD of TiO2 for In-Vivo Biomedical
Sensor Applications
S. Schröder, Ö. Dogan, J. Schneidewind, G. Bertotti, St. Keil,
H. Gargouri, M. Arens, E. Brose, J. Bruns, D. Wolansky, B. Tillack,
St. Vassanelli, B. Szyzka, R. Thewes
–
P u b l ic a t i o n s
6th IEEE International Workshop on Advances in Sensors and
Interfaces (IWASI 2015), Gallipoli, June 18 - 19, 2015, Italy
(45) From Global and Local Ge integration Approaches on Si(001)
for Microelectronics: Novel Insights by Advanced
Synchrotron Micro-XRD Techniques
T. Schroeder
X-Ray Seminar of TU, Berlin, December 01, 2015, Germany
(46) Integration aspects of Ge Lasers and Graphene Transistors
in Si Technology
T. Schroeder
Vortrag im Rahmen einer Vorlesung des Doktorandenprogramms
der Poznan University of Technology, Poznan, June 19, 2015,
Poland
(47) Modern Materials Research for „More than Moore“ Silicon
Microelectronics: From Materials to Modules
T. Schroeder
Vortrag im Rahmen einer Vorlesung des Doktorandenprogramms
der Poznan University of Technology, Poznan, June 19, 2015,
Poland
(48) Unsere Erfahrungen mit dem TEM Tecnai Osiris ChemiSTEM
A. Schubert
Gruppenseminar der Professur Analytik an Festkörperober
flächen, TU Chemnitz, January 26, 2015, Germany
(49) Internet Rzeczy w inteligentnych miastach na przykladzie
projektu SMARTIE
A. Sojka-Piotrowska
World Information Society Day 2015, Zielona Gora, May 14,
2015, Poland
(50) ICs Towards 100 Gb / s Communications
A. C. Ulusoy
Stuttgart University Seminar, Stuttgart, May 26, 2015, Germany
(51) ICs Towards 100 Gb / s Communications
A. C. Ulusoy
Ulm University Seminar, Ulm, May 27, 2015, Germany
(52) Towards Defect-free Heteroepitaxial Crystals on Patterned
Si-Substrates
H. von Känel, F. Isa, I. Prieto, C. V. Falub, T. Kreiliger, A. Jung,
A. G. Taboada, Y. Arroyo Rojas Dasilva, R. Kozak, M. D. Rossell,
R. Erni, A. Neels, A. Dommann, P. Gröning, O. Skibitzki,
T. Schroeder, G. Capellini, G. Isella, M. Meduna, M. Salvalaglio,
F. Montalenti
SemiconNano2015, Hsinchu, September 06 - 11, 2015, Taiwan
(53) Current Status of Integrated HfO2-based 1T1R Devices
Ch. Wenger
4th Workshop Micro and Nano-Electronics 2DAY „Non-Volatile
Memories: State of Art in Europe“, Rome, January 29 - 30, 2015,
Italy
(54) Gesund durch Mikroelektronik
Ch. Wenger
Kolloquium Medizintechnik der Otto-von-Guericke-Universität,
Magdeburg, November 23, 2015, Germany
(55) How Microelectronics Supports M-Health
Ch. Wenger
Potsdam Days on Bioanalysis 2015, Potsdam,
November 04 - 05, 2015, Germany
An n ual
R ep ort
2015
91
P u b l ik a t i o n e n
(56) Technologische Aspekte der CMOS kompatiblen Integration
von HfO2 basierten 1T-1R Zellen
Ch. Wenger
Arbeitskreistreffen „Materialien für nichtflüchtige Speicher“
2015, Bielefeld, April 22, 2015, Germany
(57) Advanced Germanium Epitaxy for Photonics Application
Y. Yamamoto, St. Lischke, L. Zimmermann, D. Knoll, J. Murota,
B. Tillack
ULSIC vs. TFT5 International Conference on Semiconductor
Technology for Ultra Large Scale Integrated Circuits and
Thin Film Transistors, Lake Tahoe, June 14 - 18, 2015, USA
(58) C and Si Delta Layer Formation in Ge by CH3SiH3 using RPCVD
Y. Yamamoto, N. Ueno, M. Sakuraba, J. Murota, B. Tillack
JSPS International Workshop Core-to-core program, Atomically Controlled Processing for Ultralarge Scale Integration, Marseille, July 09 - 10, 2015, France
(59) High Quality Local GeOI Fabrication by Lateral Selective
Growth of Germanium
Y. Yamamoto, M. A. Schubert, Ch. Reich, B. Tillack
8th International Workshop on New Group IV Semiconductor
Nanoelectronics and JSPS Core-to-Core Program Joint Seminar
„Atomically Controlled Processing for Ultralarge Scale
Integration“, Sendai, January 28 - 29, 2015, Japan
(60) A Survey about Testing Asynchronous Circuits
St. Zeidler, M. Krstic
22nd European Conference on Circuit Theory and Design
(ECCTD 2015), Trondheim, August 24 - 26, 2015, Norway
(61) BiCMOS Silicon Photonics Platform
L. Zimmermann, D. Knoll, M. Kroh, St. Lischke, D. Petousi,
G. Winzer, Y. Yamamoto
OFC 2015, Los Angeles, March 22 - 26, 2015, USA
(62) Merging Si Microelectronics with Ge Photonics: On Advanced
Synchrotron Micro-XRD Techniques for Local and Global
Heteroepitaxy Approaches
M. H. Zoellner, M.-I. Richard, G. Chahine, T. Schülli, P. Zaumseil,
G. Capellini, F. Montalenti, P. Storck, T. Schroeder
2015 E-MRS Spring Meeting, Lille, May 11 - 15, 2015, France
Monographien | Monographs
(1) Proceedings of the Workshop Fehlertolerante
und energieeffiziente eingebettete Systeme:
Methoden und Anwendungen
M. Schölzel, H. T. Vierhaus
Fehlertolerante und energieeffiziente eingebettete Systeme:
Methoden und Anwendungen, Lecture Notes in Informatics
(LNI), (2015)
Annua l
92
Re po r t
2015
–
P u b l ic a t i o n s
Habilitationen / Dissertationen
Habilitations / Dissertations
(1) Design and Measurement Techniques for Decision Feedback
Equalizers up to 110 Gb / s in SiGe Technologies
A. Awny
Dissertation, University of Paderborn, (2015)
(2) Millimeter-Wave Phased-Array Radio Front-Ends in
SiGe BiCMOS Technology
M. Elkhouly
Dissertation, TU Dresden, (2015)
(3) Comparative STM-based Study of Thermal Evolution of
Co and Ni Germanide Nanostructures on Ge(001)
T. Grzela
Dissertation, BTU Cottbus-Senftenberg, (2015)
(4) Optimierung und Erweiterung des IEEE 802.15.4a UWB
Standards für den Einsatz in Automatisierungssystemen
D. Kreiser
Dissertation, BTU Cottbus-Senftenberg, Cottbus, Germany, (2015)
(5) Pulse Modulation Techniques for Switched-Mode Transmitter
P. Ostrovskyy
Dissertation, University of Ulm, (2015)
Diplomarbeiten / Masterarbeiten / Bachelorarbeiten
Diploma Theses / Master Theses / Bachelor Theses
(1) SCA Resistent Implementation of the Montgomery
kP-Algorithm
E. Alpirez Bock
Master Thesis, BTU Cottbus-Senftenberg, Cottbus, Germany,
(2015)
(2) Systemintegration eines HF-Impedanzmesschips zum
kontinuierlichen Monitoring von Zelldichten
P. Glogener
Master Thesis , Hochschule für Technik und Wirtschaft Berlin, (2015)
(3) Evaluation eines Time-to-Digital-Converters in
UWB-Radaranwendungen als Interface für eingebettete
Sensorplattformen
J. Kloas
Master Thesis, BTU Cottbus-Senftenberg, Cottbus, (2015)
(4) Konzept eines anwendungsspezifisch konfigurierbaren
Betriebssystems für drahtlose Sensornetze
A. Krumholz
Master Thesis, BTU Cottbus-Senftenberg, Cottbus, Germany,
(2015)
P u b l ik a t i o n e n
(5) Design Optimization of a Charge Pump in 130 nm SiGe
BiCMOS Technology for Low-Noise Fractional-N PLL
Applications
M. Kucharski
Master Thesis, TU Berlin, (2015)
(6) Toward a Germanium Laser: Photoluminescence Study
of Strained, Doped and Sn-alloyed Ge
M. Montanari
Master Thesis, Università Roma Tre, Roma, Italy, (2015)
(7) Growth Studies of Ge and GeSn on Nano Patterned
Si Substrates
V. Schlykow
Master Thesis, Universität Leipzig, (2015)
(8) Untersuchungen zur PECVD-Abscheidung von a-Si
E. Steiner
Bachelor Thesis, Ernst-Moritz-Arndt-Universität Greifswald,
(2015)
(9) Schaltungsentwurf und Realisierung eines Nahfeld
mm-Wellen-Sensors zur Materialcharakterisierung
S. Vehring
Master Thesis, TU Berlin, (2015)
(10) Electroluminescence from Tensile Ge Light Emitting Devices
D. Walczyk
Master Thesis, Fernuniversität Hagen, (2015)
Patente | Patents
(1) Sensor zur Konzentrationsbestimmung mit einem bei
Raumtemperatur festen Medium und Verfahren zum
Betreiben eines Sensors
M. Birkholz, P. Glogener, M. Lehmann
IHP.404.14, DE-Patentanmeldung am 26.01.2015,
AZ: DE 10 2015 201 229.8
(2) Vorrichtung und Verfahren zur Multiplikation zur
Erschwerung von Seitenkanalangriffen
Z. Dyka, P. Langendörfer
IHP.426.PCT-Patentanmeldung, am 06.11.2015,
AZ: PCT / EP2015 / 075993
(3) Schaltelement zum Schalten von differentiellen Signalen
und Schaltungsanordnung
M. Kaynak, W. Winkler
IHP.445.15, DE-Patentanmeldung am 23.10.2015,
AZ: DE 10 2015 220 806.0
(4) Hochgeschwindigkeits-Germanium-PIN-Fotodiode mit
verlustarmer Kontaktierung
D. Knoll, St. Lischke
IHP.440.15, DE-Patentanmeldung am 30.06.2015,
AZ: 102015212208.5
(5) Abscheidung einer kristallinen Kohlenstoffschicht
auf einem Gruppe-IV-Substrat
G. Lippert, H.-J. Thieme, T. Schroeder
IHP.432.14, DE-Patentanmeldung am 27.08.2015,
AZ: 102015216426.8
–
P u b l ic a t i o n s
(6) Inhomogene Übertragungsleitung zur positionsaufgelösten
Permittivitätsbestimmung
Ch. Meliani, F. I. Jamal, S. Guha
IHP.413.14, DE-Patentanmeldung am 02.02.2015,
AZ: 10 2015 201 773.7
(7) Schaltbarer Messträger zur positionsaufgelösten
Permittivitätsbestimmung
Ch. Meliani, S. Guha, F. I. Jamal
IHP.408.14, DE-Patentanmeldung am 02.02.2015,
AZ: 10 2015 201 771.0
(8) SAW-SRR-Aufweckempfänger
M. Methfessel
IHP.429.15, DE-Patentanmeldung am 14.04.2015,
AZ: 10 2015 206 665.7
(9) Antennenfeld
M. Petri
IHP.443.15, DE-Patentanmeldung am 18.12.2015,
AZ: 10 2015 226 026.7
(10) Hochdirektives Millimeterwellen-Antennenmodul
mit elektronischer Strahlsteuerung
M. Petri
IHP.442.15, DE-Patentanmeldung am 26.11.2015,
AZ: DE 10 2015 223 482.7
(11) Richtfunksystem und Verfahren zur automatischen
Antennenausrichtung
M. Petri, M. Ehrig
IHP.439.15, DE-Patentanmeldung am 03.12.2015,
AZ: 10 2015 224 237.4
(12) Semiconductor Wafer Comprising a Monocrystalline
Group-IIIA Nitride Layer
B. Sarad, M. Häberlen, T. Schroeder, M.H. Zoellner
IHP.437.15, EP-Patentanmeldung am: 04.02.2015,
AZ: EP 15153303
(13) Elektrischer Schaltkreis mit Strahlungsschutz
und Betriebsverfahren
G. Schoof
IHP.407.14, DE-Patentanmeldung am 15.01.2015,
AZ: 102015200576.3
(14) Strahlentolerante NMOS-NLDMOS-KASKODE Anordnung
und CMOS-Transistoranordnung
R. Sorge
IHP.433.15,-DE-Patentanmeldung am 16.03.2015,
AZ: 102015204740.7
(15) Intrinsic Code Attestation
O. Stecklina, P. Langendörfer
IHP.430.14, DE-Patentanmeldung am 28.01.2015,
AZ: 102015201430.4
(16) Duroplastbeschichtung von Graphen
A. Wolff, F. Neß, R. Brunotte
IHP.431.14, DE-Patentanmeldung am 19.02.2015,
AZ: DE 10 2015 203 029.6
(17) Herstellung von Halbleiter-auf-Isolator-Schichtstrukturen
Y. Yamamoto, B. Tillack
IHP.414.PCT-Anmeldung am 20.03.2015,
AZ: PCT/EP2015/056006
An n ual
R ep ort
2015
93
Deliverables
and Services
Annua l
94
Re po r t
2015
A n ge b o t e
u n d
Leis t u n ge n
–
De l iver a b l es
and
S ervices
Multi-Projekt-Wafer (MPW)- und
Prototyping-Service
Multi Project Wafer (MPW) and
Prototyping Service
Das IHP bietet seinen Forschungspartnern und Kunden Zugriff
IHP offers research partners and customers access to its pow-
auf seine leistungsfähigen SiGe-BiCMOS-Technologien und spe-
erful SiGe BiCMOS technologies and special integrated RF
zielle integrierte Hochfrequenzmodule.
modules.
Die Technologien sind insbesondere für Anwendungen im oberen
The technologies are especially suited for applications in the
GHz-Bereich geeignet, so z. B. für die drahtlose und Breitbandkom-
higher GHz range (e. g. for wireless, broadband, radar, fiberoptic
munikation, Radar, glasfasergestützte Kommunikation und inte-
communication and integrated photonics). They provide inte-
grierte Photonik. Sie bieten integrierte HBTs mit Grenzfrequenzen
grated HBTs with cut-off frequencies of up to 500 GHz, inte-
bis zu 500 GHz, integrierte RF-MEMS und integrierte RF-LDMOS-
grated RF-MEMS, and integrated RF LDMOS devices with break-
Bauelemente mit Durchbruchspannungen bis zu 22 V einschließlich
down voltages up to 22 V, including complementary devices.
komplementärer Bauelemente.
Verfügbar sind folgende SiGe-BiCMOS-Technologien:
The following SiGe BiCMOS technologies are available:
SG25H3: Eine 0,25-µm-BiCMOS-Technologie mit
SG25H3: A 0.25 µm BiCMOS with a set of npn-HBTs
mehreren npn-HBTs, d eren Parameter von
ranging from a high RF performance
einer hohen HF-Performance
(fT / fmax= 110 GHz / 180 GHz) to higher
(fT / fmax= 110 / 180 GHz) zu g rößeren
breakdown voltages up to 7 V.
Durchbruchspannungen bis zu 7 V reichen.
SG25H4:Eine Hochleistungs-BiCMOS-Technologie.
SG25H4:A high performance BiCMOS technology.
Das bipolare Modul basiert auf Hochge-
The bipolar module based on SG25H1 high
schwindigkeits-Bauelementen der SG25H1
speed devices and SG25H3 high voltage
und Bauelementen mit höherer Durchbruch-
devices. High-speed SiGe:C npn-HBTs have transit
spannung der SG25H3. Die Hochgeschwin-
frequencies up to 200 GHz and up to 220 GHz
digkeits SiGe:C-npn-HBTs haben Grenz-
oscillation frequencies.
frequenzen bis fT / fmax = 200 / 220 GHz.
Additionally, SiGe:C npn HBTs with break-
Zusätzlich werden SiGe:C-npn-HBTs mit
down voltages (BVCE0) up to 7 V are offered.
Durchbruchspannungen (BVCE0) bis zu 7 V
angeboten.
SGB25V: A cost-effective 0.25 µm BiCMOS with a set of
SGB25V: Eine kostengünstige 0,25-µm-BiCMOS-
npn-HBTs up to a breakdown voltage
Technologie mit mehreren npn-Transistoren
of 7 V.
mit Durchbruchspannungen bis zu 7 V.
SG13S: A high-performance 0.13 µm BiCMOS with
SG13S: Eine 0,13-µm-BiCMOS-Hochleistungs-
npn-HBTs up to fT / fmax= 250 / 300 GHz, with
Technologie mit npn-HBTs bis zu
3.3 V I / O CMOS and 1.2 V logic CMOS.
fT / fmax= 250 / 300 GHz mit 3,3 V I / O-CMOS und 1,2 V Logik-CMOS.
SG13G2: A 0.13 µm BiCMOS technology with much
SG13G2: Eine 0,13-µm-BiCMOS-Hochleistungs-
higher bipolar performance of
Technologie mit einer wesentlich höheren Bi-
fT / fmax = 300 / 500 GHz.
polar-Performance von f T / fmax = 300 / 500 GHz.
An n ual
R ep ort
2015
95
A n ge b o t e
u n d
Leis t u n ge n
–
De l iver a b l es
and
S ervices
Das Backend enthält 3 (SG13: 5) dünne und 2 dicke Metalle-
The backend offers 3 (SG13: 5) thin and 2 thick metal layers
benen (TM1: 2 µm, TM2: 3 µm).
(TM1: 2 µm, TM2: 3 µm).
Es finden technologische Durchläufe nach einem festen, unter
The schedule for MPW & Prototyping runs is published at www.
www.ihp-microelectronics.com verfügbaren Zeitplan statt.
ihp-microelectronics.com.
Ein Cadence-basiertes Design-Kit für Mischsignale ist verfüg-
A cadence-based mixed signal design kit is available. For high
bar. Wiederverwendbare Schaltungsblöcke und IPs des IHP für
frequency designs an analogue Design Kit in ADS can be used.
die drahtlose und Breitbandkommunikation werden zur Unter-
IHP’s reusable blocks and IPs are offered to support your designs.
stützung Ihrer Designs angeboten.
Zusätzliche Module sind für bestimmte
The following Technology Modules
SiGe-BiCMOS-Technologien verfügbar:
are available:
GD:Zusätzliche integrierte, komplementäre
GD:Additional integrated complementary
HF-LDMOS mit nLDMOS bis 22 V, pLDMOS
RF LDMOS devices with nLDMOS up to 22 V,
pLDMOS up to -16 V breakdown voltage
bis -16 V Durchbruchspannung und einem
isolierten nLDMOS.
and an isolated nLDMOS device.
(available in SGB25V)
(verfügbar in SGB25V)
H3P:
Zusätzliche pnp-HBTs mit
fT / fmax= 90/120 GHz für komplementäre
H3P:
fT / fmax = 90 / 120 GHz for complementary
bipolar applications.
Bipolar-Anwendungen.
(verfügbar in SG25H3)
Additional pnp-HBTs with
(available in SG25H3)
RF-MEMS-Schalter:
RF-MEMS Switch:
Zusätzliche kapazitive MEMS-Schalter für
Additional capacitive MEMS switch devices
Frequenzen zwischen 40 GHz und 150 GHz.
for frequencies between 40 GHz and 150 GHz.
(verfügbar in SG13S und SG13G2)
(available in SG13S and SG13G2 technology)
LBE: Das Modul für lokales Rückseitenätzen (LBE)
LBE: The Localized Backside Etching (LBE)
wird angeboten zur Verbesserung der
module is offered to remove silicon locally
passiven Performance durch lokales
to improve passive performance.
Entfernen von Silizium.
(available in all technologies)
(verfügbar in allen Technologien).
PIC:Zusätzliche photonische Designebenen
PIC:Additional photonic design layers together
zusammen mit BiCMOS-BEOL-Ebenen auf
with BiCMOS BEOL layers on SOI wafers.
SOI-Wafern. (verfügbar in SG25H4)
(available in SG25H4)
Annua l
96
Re po r t
2015
A n ge b o t e
u n d
Leis t u n ge n
–
De l iver a b l es
Die wesentlichen Parameter der Technologien sind:
and
S ervices
Technical key-parameters of the technologies are:
Bipolar Section
SG25H3 High Medium High pnp
Performance Voltage Voltage H3P Module
AE0.22 x 0.84 µm20.22 x 2.24 µm20.22 x 2.24 µm20.22 x 0.84 µm2
Peak fmax180 GHz140 GHz80 GHz
120 GHz
Peak fT110 GHz45 GHz25 GHz
90 GHz
BVCE0 2.3 V 5 V> 7 V
- 2.5 V
BVCBO 6.0 V15.5 V21.0 V
- 4.0 V
VA 30 V 30 V30 V
30 V
100
β 150 150150
SG25H4npn1npn2
AE0.21 x 0.84 µm20.18 x 0.84 µm2
Peak fmax190 GHz220 GHz
Peak fT190 GHz200 GHz
BVCE0 1.9 V 1.9 V
BVCBO 4.5 V 5.0 V
VA 40 V 40 V
β 270 260
SGB25V High Standard
Performance AE0.42 x 0.84 µm2
High
Voltage
0.42 x 0.84 µm20.42 x 0.84 µm2
Peak fmax95 GHz
90 GHz
70 GHz
Peak fT75 GHz
45 GHz
25 GHz
BVCEO 2.4 V 4.0 V
7.0 V
BVCBO > 7 V > 15 V
> 20 V
VA > 50 V
> 80 V
> 100 V
β 190
190
190
SG13Snpn13Pnpn13V
SG13G2npn13g2
AE0.12 x 0.48 µm20.18 x 1.02 µm2
AE0.07 x 0.90 µm2
Peak fmax 340 GHz165 GHz
Peak fmax 500 GHz
Peak fT 250 GHz45 GHz
Peak fT 300 GHz
BVCE0 1.7 V 3.7 V
BVCE0 1.7 V
BVCBO 5.0 V 15 V
BVCBO 4.8 V
β β 900 900
650
An n ual
R ep ort
2015
97
A n ge b o t e
u n d
Leis t u n ge n
–
De l iver a b l es
and
S ervices
CMOS Section
SG25H3 / H4*SG13S***
Core Supply Voltage
2.5 V 3.3 V
1.2 V
nMOS Vth
0.6 V
0.50 V
0.71 V
Iout**
540 µA/µm280 µA/µm 480 µA/µm
Ioff
3 pA/µm10 pA/µm 500 pA/µm
pMOS Vth
Iout
- 0.6 V- 0.61 V - 0.42 V
- 230 µA/µm- 220 µA/µm - 210 µA/µm
Ioff *: Parameters for SGB25V are similar
- 3 pA/µm- 10 pA/µm - 500 pA/µm
**: @VG = 2.5 V
***: Parameters for SG13G2 have to be defined
Passive Section
SG25H3 / H4SGB25V
SG13S
MIM Capacitor1 fF/µm2 1 fF / µm2 1.5 fF/µm2
N+Poly Resistor210 Ω/ 2 10 Ω/ P+Poly Resistor280 Ω/ 3 10 Ω/ High Poly Resistor
1600 Ω/ Varactor Cmax / Cmin
2000 Ω/ 250 Ω/
1300 Ω/
3 tbd.
tbd.
Inductor Q@5 GHz18 (1 nH)18 (1 nH) 18 (1 nH)
Inductor Q@10 GHz20 (1 nH)20 (1 nH) 20 (1 nH)
Inductor Q@5 GHz37 (1 nH)*37 (1 nH)*
37 (1 nH)*
*: with LBE
GD Module
nLDMOSpLDMOS
NLD2GD22C iNLD2GD13A **** PLD2G19B
BVDSS* 22 V
14 V
- 16 V
VTH0.55 V
0.6 V
- 0.5 V
IOUT**460 µA/µm 440 µA/µm - 180 µA/µm
RON4 Ωmm
4 Ωmm
15 Ωmm
Peak fmax***52 GHz
50 GHz
30 GHz
Peak fT***20 GHz 28 GHz
9 GHz
** :@ VG= 2.5 V
*:@ 50 pA/µm
RF-MEMS Switch Module
Actuation Voltage60 V (Pull-in ~50 V)
Con /Coff > 10
Switch Time< 10 µs
Isolation*< 20 dB
Insertion Loss*< 1 dB
*@ 60 GHz
Annua l
98
Re po r t
2015
***:@ VDS = 4 V
****: substrate isolated
A n ge b o t e
u n d
Leis t u n ge n
–
De l iver a b l es
and
S ervices
Photonic Integrated Circuit module
- 2 dopings (p+, n+)
- Full backend metal stack (3x metal + 2x top metal)
- Localized backside etching (optional)
Etch depth
220 nm
120 nm
Waveguide loss
3.5 dB/cm
3 dB/cm
(Waveguide width (Waveguide width = 450 nm)
Smallest feature size
width >180 nm,
notch / space >140 nm (remaining area is drawn) Germanium Photo Diode Building Block
= 500 nm)
70 nm
1 dB/cm
(Waveguide width
= 700 nm)
width >180 nm, notch / space >400 nm (area being etched is drawn)
width >130 nm,
notch / space >130 nm
(area being etched is drawn)
Mach-Zehnder Modulator Building Block
Waveguide stub 5mm phase shifter length
Designed for push-pull operation
Bandwidth > 67 GHz @ Bias = -2 V Bandwidth ~ 15 GHz
Responsivity ~ 0.9 A/W @ Bias = -2 VTravelling Wave Electrode Design
GDSII cell
Insertion loss (including coupling) ~ 14 dB
Vπ ~ 6 V
GDSII cell
Design Kits
The design kits support a Cadence mixed signal
- Full Custom Layout (Cadence Virtuoso Layout Editor)
platform:
- Physical Verification (Cadence Assura: DRC / LVS,
Cadence QRC: Parasitic Extraction, selected PDKs
Analog / Mixed-Signal Flow:
support Substrate Noise Analysis)
- Selected PDKs support Cadence VPS for EMIR
- Design Framework II (Cadence 6.1)
analysis
- Schematic Design Entry
- Support of Analog Office and TexEDA via partners
(Cadence Virtuoso Schematic Editor)
is available
- Simulation
- Sonnet support for all design kits
- Simulation Cockpit: Analog Design
- ADS-support via Golden Gate / RFIC dynamic link
Environment – ADE (Cadence)
to Cadence is available
- RF: SpectreRF (Cadence)
- Standalone ADS Kit including Momentum
- Analog: Spectre / APS (Cadence)
substrate layer file
- Mixed-Signal: AMS Designer / XPS (Cadence)
An n ual
R ep ort
2015
99
A n ge b o t e
u n d
Leis t u n ge n
–
De l iver a b l es
and
S ervices
Digital Design Flow:
- Behavioral Modeling (VHDL, Verilog HDL)
DFT Compiler, Cadence RTL Compiler and
- Verification
Encounter Test)
- Simulation: ModelSim (Mentor Graphics),
- Place & Route (Cadence Encounter Digital
Incisive Enterprise Simulator – IES (Cadence)
Implementation System), OA views of digital
- Formal Verification (Cadence Incisive and
libraries are available for MS-implementation flow
Conformal Suite for Assertions)
- Power Analysis (Cadence EPS and Voltus)
- VHDL / Verilog Logic Synthesis & Optimization
- Static Timing Analysis (Cadence Tempus,
(Design Compiler / Synopsys, PrimeTime / Synop-
Synopsys PrimeTime)
sys, RTL Compiler / Cadence)
- Digital CMOS libraries and IO Cells for 0.25 µm
- Design for Test, Test Pattern Generation (Synopsys
CMOS and 0.13 µm CMOS
IP
Analog IP
Digital IP
- 245 GHz Transceiver Circuits
- Crypto Cores: for flexible AES, ECC, MD5 and SHA-1
- 122 GHz Radar Circuits
- Interfaces: I2C slave, SPI master, SPI slave, MDIO Slave,
- On-Chip Antennas for 122, 245, 480 and 720 GHz
VGA monitor, UART, 16-bit timer, SpaceWire
- 60 GHz Circuits & Components for Wireless Communication
- Processor: 32/64 bit Peaktop Processor Core, Fault Tolerant
- Frequency Synthesizers & Components
Multi-Processor System IP
- 5 GHz WLAN Circuits & Components
- Communication Cores: 60 GHz MAC processor, 60 GHz OFDM
- Ultra-low Power Wireless Components
baseband processor, LDPC Coder/ Decoder, RS Coder/ De-
- Impulse Radio UWB Transceiver & Components
coder, BCH Coder/ Decoder, IR-UWB (IEEE 802.15.4a)
- High-Speed Converters and Components
baseband and front-end, Viterbi Decoder, FFT, WLAN
- Circuits for Optical Fibre Communication
(IEEE 802.11a) baseband processor and MAC processor
- DACs and ADCs for Sense & Control Applications
- Sensor nodes: 16-bit Crypto-microcontroller, also with 64K
- Sensor Readout Circuits
internal Flash (TNode), 32-bit Crypto-microcontroller
- Space Circuits & Components
(Trusted Sensor Node), UWB Node
- LIDAR Circuits
- Consultancy: MAC protocol design & Gigabit WLAN systems,
- Demonstration & Evaluation Kits for UWB Indoor Localization,
Wireless sensor networks and Applications, Fault tolerant
Ranging, Radar & Communication, 122 GHz Radar
Annua l
100
Re po r t
2015
and radhard design for space and automotive
A n ge b o t e
u n d
Leis t u n ge n
–
De l iver a b l es
and
S ervices
Transfer of Technologies and Technology
Modules
Failure Mode Analysis and
Diagnostics
IHP offers its BiCMOS technologies and technology modules
IHP offers support for yield enhancement through failure mode
(HBT-Modules, LDMOS-Modules) for transfer. The technological
analysis with state-of-the-art equipment, including AES, AFM,
parameters comply to a large extent with the parameters de-
FIB, SEM, SIMS, ToFSIMS and TEM.
scribed above for MPW & Prototyping.
Process Module Support
IHP offers support for advanced process modules for research
and development purposes and small volume prototyping.
Process modules available include:
- Standard processes (implantation, etching,
CMP & deposition of layer stacks such
as thermal SiO2 , PSG, Si3N4 , Al , TiN, W)
- Epitaxy (Si, Si:C, SiGe, SiGe:C, Ge)
- Optical lithography (i-line and 248 nm down
to 100 nm structure size)
- Short-flow processing
- Electrical characterization and testing.
For more information please contact:
Dr. René Scholz (MPW & Prototyping contact)
IHP
Im Technologiepark 25
15236 Frankfurt (Oder), Germany
Email : [email protected]
Tel : +49 335 56 25 647
Fax +49 335 56 25 327
An n ual
R ep ort
2015
101
Weg b esc h rei b u n g
zum
I H P
–
Dir E c t i o n s
Wegbeschreibung zum IHP
Directions to IHP
per Flugzeug
by plane
to
I H P
Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis Bahnhof
From Berlin-Tegel Airport take the bus X9 to the railway sta-
Berlin-Zoologischer Garten (19 Minuten) oder mit der Buslinie
tion Berlin-Zoologischer Garten (19 minutes) or the bus TXL to
TXL bis zum Berliner Hauptbahnhof (20 Minuten), dann mit
Berlin Hauptbahnhof (20 minutes), then take the RegionalExpress
dem RegionalExpress RE 1 bis Frankfurt (Oder) (ca. 1 Stunde
RE 1 to Frankfurt (Oder) (appr. 1 hour 20 minutes).
20 Minuten).
From Berlin-Schönefeld Airport take the Airport-Express or
Vom Flughafen Berlin-Schönefeld mit dem Airport-Express
the S-Bahn line S 9 to the railway station Berlin Ostbahnhof
oder der S-Bahnlinie S 9 bis Bahnhof Berlin-Ostbahnhof (19
(19 resp. 32 minutes); then take the RegionalExpress RE 1 to
bzw. 32 Minuten); dann mit dem RegionalExpress RE 1 bis
Frankfurt (Oder) (appr. 1 hour).
Frankfurt (Oder) (ca. 1 Stunde).
per Bahn
by train
Take the train RegionalExpress RE 1 from the Berlin railway
Von den Berliner Bahnhöfen Zoologischer Garten, Haupt-
stations Zoologischer Garten, Hauptbahnhof, Friedrichstraße,
bahnhof, Friedrichstraße, Alexanderplatz oder Ostbahnhof
Alexanderplatz or Ostbahnhof to Frankfurt (Oder).
mit dem RegionalExpress RE 1 bis Frankfurt (Oder).
per Auto
by car
Take the highway A 12 from Berlin in the direction Frankfurt
Über den Berliner Ring auf die Autobahn A 12 in Richtung Frankfurt
(Oder) / Warschau (Warsaw); take exit Frankfurt (Oder)-West,
(Oder) / Warschau; Abfahrt Frankfurt (Oder)-West, an der Ampel
at the traffic lights turn left in the direction Beeskow and
links in Richtung Beeskow und dem Wegweiser „Technologie-
follow the signs to “Technologiepark Ostbrandenburg”.
park Ostbrandenburg“ folgen.
per StraSSenbahn in Frankfurt (Oder)
by tram in Frankfurt (Oder)
Take the Tram 3 or 4 from railway station Frankfurt (Oder)
Ab Frankfurt (Oder) Bahnhof mit der Linie 3 oder 4 in Richtung
Bahnhof in the direction Markendorf Ort to Technologiepark
Markendorf Ort bis Haltestelle Technologiepark (13 Minuten).
(13 minutes).
Annua l
102
Re po r t
2015
impressum
–
impri n t
Herausgeber | Publisher
IHP GmbH – Innovations for High Performance Microelectronics | Leibniz-Institut für innovative Mikroelektronik Postadresse | Postbox
Postfach 1466 | Postbox 1466, 15204 Frankfurt (Oder), Deutschland | Germany
Besucheradresse | Address for Visitors
Im Technologiepark 25, 15236 Frankfurt (Oder), Deutschland | Germany
Telefon | Fon +49 335 56250, Telefax | Fax+49 335 5625300
E-Mail: [email protected], Internet: www.ihp-microelectronics.com
Redaktion | Editors
Dr. Wolfgang Kissinger, Franziska Wegner
Gestaltung und Satz | design and layout
GIRAFFE Werbeagentur, Leipziger Straße 187, 15232 Frankfurt (Oder)
Telefon | Fon +49 335 6069660, Telefax | Fax+49 335 60696619
E-Mail: [email protected], Internet: www.giraffe.de
Druck | Printing
Paulus & Partner GmbH, Druckerei und Verlag, Friedhofstraße 20b, 15328 Küstriner Vorland
Telefon | Fon +49 33472 50808, Telefax | Fax +49 33472 50054
E-Mail: [email protected], Internet: www.paulusundpartner.de
Bildnachweise | Photocredits
IHP, Patrick Pleul, Frederic Schweizer
Bilderklärungen | Photodescriptions
S. 6: IHP´s Research
In der Pilotlinie des IHP gefertigte leistungsfähige HF-Schaltkreise.
High-performance RF circuits manufactured in IHP’s cleanroom.
S. 26: Update 2015
Das Institut beschäftigte 2015 rund 300 Mitarbeiterinnen und Mitarbeiter, darunter 16 Auszubildende und mehr als 130 Wissenschaftlerinnen
und Wissenschaftler.
In 2015, the Institute had around 300 employees, including 16 trainees and more than 130 scientists.
S. 32: Selected Projects
60-GHz-Datenübertragungssystem.
60 GHz demonstrator for broadband communication.
S. 66: Joint Labs
Wissenschaftlerinnen und Wissenschaftler bei der Diskussion eines Schaltkreis-Layouts.
Scientists discussing a circuit layout.
S. 76: Publications
Demonstration eines 122 GHz FMCW-Radar-Systems mit On-Chip-Antennen.
Demonstration of 122 GHz FMCW radar operation with on-chip antennas.
S. 94: Deliverables and Services
Das IHP bietet Forschungspartnern und Kunden Zugang zu den leistungsstarken SiGe:C-BiCMOS-Technologien und speziellen integrierten
RF-Modulen.
IHP offers research partners and customers access to its powerful SiGe:C BiCMOS technologies and special integrated RF modules.
An n ual
R ep ort
2015
103
IHP GmbH – Innovations
for High Performance
Microelectronics / Leibniz-Institut
für innovative Mikroelektronik
Im Technologiepark 25
15236 Frankfurt (Oder)
Germany
Telefon +49 335 5625 0
Fax +49 335 5625 300
[email protected]
www.ihp-microelectronics.com

Documentos relacionados